vt52-fpga  1.0.0 Initial
vt52-fpga is a serial terminal implemented on a FPGA
char_rom.v
Go to the documentation of this file.
1 /**
2  * Character Font ROM (4kx8)
3  * This could be a RAM to allow font modifications, but not for now
4  * latin-1 subset of Terminus Font 8x16 (http://terminus-font.sourceforge.net)
5  * Terminus Font is licensed under the SIL Open Font License, Version 1.1.
6  * The license is included as ofl.txt, and is also available with a FAQ
7  * at http://scripts.sil.org/OFL
8  */
9 module char_rom
10  (input clk,
11  input [11:0] addr,
12  output [7:0] dout_
13  );
14 
15  reg [7:0] dout_;
16  reg [7:0] mem [4095:0];
17 
18  initial begin
19  $readmemh("terminus_816_latin1.mem", mem) ;
20 // $readmemh("terminus_816_bold_latin1.mem", mem) ;
21  end
22 
23  always @(posedge clk) begin
24  dout_ = mem[addr];
25  end
26 endmodule
module char_rom(input clk, input[11:0] addr, output[8] dout_)
Character Font ROM (4kx8) This could be a RAM to allow font modifications, but not for now latin-1 su...
Definition: char_rom.v:10
always(posedge clk)
Definition: uart_rx.v:86