SimpleVOut  1.0.0 Initial
A Simple FPGA Core for Creating VGA/DVI/HDMI/OpenLDI Signals
design_1_processing_system7_0_0_stub.sv
Go to the documentation of this file.
1 // (c) Copyright 1995-2021 Xilinx, Inc. All rights reserved.
2 //
3 // This file contains confidential and proprietary information
4 // of Xilinx, Inc. and is protected under U.S. and
5 // international copyright and other intellectual property
6 // laws.
7 //
8 // DISCLAIMER
9 // This disclaimer is not a license and does not grant any
10 // rights to the materials distributed herewith. Except as
11 // otherwise provided in a valid license issued to you by
12 // Xilinx, and to the maximum extent permitted by applicable
13 // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
14 // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
15 // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
16 // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
17 // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
18 // (2) Xilinx shall not be liable (whether in contract or tort,
19 // including negligence, or under any other theory of
20 // liability) for any loss or damage of any kind or nature
21 // related to, arising under or in connection with these
22 // materials, including for any direct, or any indirect,
23 // special, incidental, or consequential loss or damage
24 // (including loss of data, profits, goodwill, or any type of
25 // loss or damage suffered as a result of any action brought
26 // by a third party) even if such damage or loss was
27 // reasonably foreseeable or Xilinx had been advised of the
28 // possibility of the same.
29 //
30 // CRITICAL APPLICATIONS
31 // Xilinx products are not designed or intended to be fail-
32 // safe, or for use in any application requiring fail-safe
33 // performance, such as life-support or safety devices or
34 // systems, Class III medical devices, nuclear facilities,
35 // applications related to the deployment of airbags, or any
36 // other applications that could lead to death, personal
37 // injury, or severe property or environmental damage
38 // (individually and collectively, "Critical
39 // Applications"). Customer assumes the sole risk and
40 // liability of any use of Xilinx products in Critical
41 // Applications, subject only to applicable laws and
42 // regulations governing limitations on product liability.
43 //
44 // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
45 // PART OF THIS FILE AT ALL TIMES.
46 //
47 // DO NOT MODIFY THIS FILE.
48 
49 
50 //------------------------------------------------------------------------------------
51 // Filename: design_1_processing_system7_0_0_stub.sv
52 // Description: This HDL file is intended to be used with following simulators only:
53 //
54 // Vivado Simulator (XSim)
55 // Cadence Xcelium Simulator
56 // Aldec Riviera-PRO Simulator
57 //
58 //------------------------------------------------------------------------------------
59 `timescale 1ps/1ps
60 
61 `ifdef XILINX_SIMULATOR
62 
63 `ifndef XILINX_SIMULATOR_BITASBOOL
64 `define XILINX_SIMULATOR_BITASBOOL
65 typedef bit bit_as_bool;
66 `endif
67 
68 (* SC_MODULE_EXPORT *)
70  output bit [0 : 0] ENET0_GMII_TX_EN,
71  output bit [0 : 0] ENET0_GMII_TX_ER,
72  output bit_as_bool ENET0_MDIO_MDC,
73  output bit_as_bool ENET0_MDIO_O,
74  output bit_as_bool ENET0_MDIO_T,
75  output bit [7 : 0] ENET0_GMII_TXD,
76  input bit_as_bool ENET0_GMII_COL,
77  input bit_as_bool ENET0_GMII_CRS,
78  input bit_as_bool ENET0_GMII_RX_CLK,
79  input bit_as_bool ENET0_GMII_RX_DV,
80  input bit_as_bool ENET0_GMII_RX_ER,
81  input bit_as_bool ENET0_GMII_TX_CLK,
82  input bit_as_bool ENET0_MDIO_I,
83  input bit_as_bool ENET0_EXT_INTIN,
84  input bit [7 : 0] ENET0_GMII_RXD,
85  input bit [7 : 0] GPIO_I,
86  output bit [7 : 0] GPIO_O,
87  output bit [7 : 0] GPIO_T,
88  output bit_as_bool TTC0_WAVE0_OUT,
89  output bit_as_bool TTC0_WAVE1_OUT,
90  output bit_as_bool TTC0_WAVE2_OUT,
91  output bit_as_bool M_AXI_GP0_ARVALID,
92  output bit_as_bool M_AXI_GP0_AWVALID,
93  output bit_as_bool M_AXI_GP0_BREADY,
94  output bit_as_bool M_AXI_GP0_RREADY,
95  output bit_as_bool M_AXI_GP0_WLAST,
96  output bit_as_bool M_AXI_GP0_WVALID,
97  output bit [11 : 0] M_AXI_GP0_ARID,
98  output bit [11 : 0] M_AXI_GP0_AWID,
99  output bit [11 : 0] M_AXI_GP0_WID,
100  output bit [1 : 0] M_AXI_GP0_ARBURST,
101  output bit [1 : 0] M_AXI_GP0_ARLOCK,
102  output bit [2 : 0] M_AXI_GP0_ARSIZE,
103  output bit [1 : 0] M_AXI_GP0_AWBURST,
104  output bit [1 : 0] M_AXI_GP0_AWLOCK,
105  output bit [2 : 0] M_AXI_GP0_AWSIZE,
106  output bit [2 : 0] M_AXI_GP0_ARPROT,
107  output bit [2 : 0] M_AXI_GP0_AWPROT,
108  output bit [31 : 0] M_AXI_GP0_ARADDR,
109  output bit [31 : 0] M_AXI_GP0_AWADDR,
110  output bit [31 : 0] M_AXI_GP0_WDATA,
111  output bit [3 : 0] M_AXI_GP0_ARCACHE,
112  output bit [3 : 0] M_AXI_GP0_ARLEN,
113  output bit [3 : 0] M_AXI_GP0_ARQOS,
114  output bit [3 : 0] M_AXI_GP0_AWCACHE,
115  output bit [3 : 0] M_AXI_GP0_AWLEN,
116  output bit [3 : 0] M_AXI_GP0_AWQOS,
117  output bit [3 : 0] M_AXI_GP0_WSTRB,
118  input bit_as_bool M_AXI_GP0_ACLK,
119  input bit_as_bool M_AXI_GP0_ARREADY,
120  input bit_as_bool M_AXI_GP0_AWREADY,
121  input bit_as_bool M_AXI_GP0_BVALID,
122  input bit_as_bool M_AXI_GP0_RLAST,
123  input bit_as_bool M_AXI_GP0_RVALID,
124  input bit_as_bool M_AXI_GP0_WREADY,
125  input bit [11 : 0] M_AXI_GP0_BID,
126  input bit [11 : 0] M_AXI_GP0_RID,
127  input bit [1 : 0] M_AXI_GP0_BRESP,
128  input bit [1 : 0] M_AXI_GP0_RRESP,
129  input bit [31 : 0] M_AXI_GP0_RDATA,
130  output bit_as_bool S_AXI_HP0_ARREADY,
131  output bit_as_bool S_AXI_HP0_AWREADY,
132  output bit_as_bool S_AXI_HP0_BVALID,
133  output bit_as_bool S_AXI_HP0_RLAST,
134  output bit_as_bool S_AXI_HP0_RVALID,
135  output bit_as_bool S_AXI_HP0_WREADY,
136  output bit [1 : 0] S_AXI_HP0_BRESP,
137  output bit [1 : 0] S_AXI_HP0_RRESP,
138  output bit [5 : 0] S_AXI_HP0_BID,
139  output bit [5 : 0] S_AXI_HP0_RID,
140  output bit [63 : 0] S_AXI_HP0_RDATA,
141  output bit [7 : 0] S_AXI_HP0_RCOUNT,
142  output bit [7 : 0] S_AXI_HP0_WCOUNT,
143  output bit [2 : 0] S_AXI_HP0_RACOUNT,
144  output bit [5 : 0] S_AXI_HP0_WACOUNT,
145  input bit_as_bool S_AXI_HP0_ACLK,
146  input bit_as_bool S_AXI_HP0_ARVALID,
147  input bit_as_bool S_AXI_HP0_AWVALID,
148  input bit_as_bool S_AXI_HP0_BREADY,
149  input bit_as_bool S_AXI_HP0_RDISSUECAP1_EN,
150  input bit_as_bool S_AXI_HP0_RREADY,
151  input bit_as_bool S_AXI_HP0_WLAST,
152  input bit_as_bool S_AXI_HP0_WRISSUECAP1_EN,
153  input bit_as_bool S_AXI_HP0_WVALID,
154  input bit [1 : 0] S_AXI_HP0_ARBURST,
155  input bit [1 : 0] S_AXI_HP0_ARLOCK,
156  input bit [2 : 0] S_AXI_HP0_ARSIZE,
157  input bit [1 : 0] S_AXI_HP0_AWBURST,
158  input bit [1 : 0] S_AXI_HP0_AWLOCK,
159  input bit [2 : 0] S_AXI_HP0_AWSIZE,
160  input bit [2 : 0] S_AXI_HP0_ARPROT,
161  input bit [2 : 0] S_AXI_HP0_AWPROT,
162  input bit [31 : 0] S_AXI_HP0_ARADDR,
163  input bit [31 : 0] S_AXI_HP0_AWADDR,
164  input bit [3 : 0] S_AXI_HP0_ARCACHE,
165  input bit [3 : 0] S_AXI_HP0_ARLEN,
166  input bit [3 : 0] S_AXI_HP0_ARQOS,
167  input bit [3 : 0] S_AXI_HP0_AWCACHE,
168  input bit [3 : 0] S_AXI_HP0_AWLEN,
169  input bit [3 : 0] S_AXI_HP0_AWQOS,
170  input bit [5 : 0] S_AXI_HP0_ARID,
171  input bit [5 : 0] S_AXI_HP0_AWID,
172  input bit [5 : 0] S_AXI_HP0_WID,
173  input bit [63 : 0] S_AXI_HP0_WDATA,
174  input bit [7 : 0] S_AXI_HP0_WSTRB,
175  input bit [0 : 0] IRQ_F2P,
176  output bit_as_bool FCLK_CLK0,
177  output bit_as_bool FCLK_CLK1,
178  output bit_as_bool FCLK_CLK2,
179  output bit_as_bool FCLK_CLK3,
180  output bit_as_bool FCLK_RESET0_N,
181  output bit [53 : 0] MIO,
182  output bit_as_bool DDR_CAS_n,
183  output bit_as_bool DDR_CKE,
184  output bit_as_bool DDR_Clk_n,
185  output bit_as_bool DDR_Clk,
186  output bit_as_bool DDR_CS_n,
187  output bit_as_bool DDR_DRSTB,
188  output bit_as_bool DDR_ODT,
189  output bit_as_bool DDR_RAS_n,
190  output bit_as_bool DDR_WEB,
191  output bit [2 : 0] DDR_BankAddr,
192  output bit [14 : 0] DDR_Addr,
193  output bit_as_bool DDR_VRN,
194  output bit_as_bool DDR_VRP,
195  output bit [3 : 0] DDR_DM,
196  output bit [31 : 0] DDR_DQ,
197  output bit [3 : 0] DDR_DQS_n,
198  output bit [3 : 0] DDR_DQS,
199  output bit_as_bool PS_SRSTB,
200  output bit_as_bool PS_CLK,
201  output bit_as_bool PS_PORB
202 );
203 endmodule
204 `endif
205 
206 `ifdef XCELIUM
207 (* XMSC_MODULE_EXPORT *)
208 module design_1_processing_system7_0_0 (ENET0_GMII_TX_EN,ENET0_GMII_TX_ER,ENET0_MDIO_MDC,ENET0_MDIO_O,ENET0_MDIO_T,ENET0_GMII_TXD,ENET0_GMII_COL,ENET0_GMII_CRS,ENET0_GMII_RX_CLK,ENET0_GMII_RX_DV,ENET0_GMII_RX_ER,ENET0_GMII_TX_CLK,ENET0_MDIO_I,ENET0_EXT_INTIN,ENET0_GMII_RXD,GPIO_I,GPIO_O,GPIO_T,TTC0_WAVE0_OUT,TTC0_WAVE1_OUT,TTC0_WAVE2_OUT,M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID,M_AXI_GP0_AWID,M_AXI_GP0_WID,M_AXI_GP0_ARBURST,M_AXI_GP0_ARLOCK,M_AXI_GP0_ARSIZE,M_AXI_GP0_AWBURST,M_AXI_GP0_AWLOCK,M_AXI_GP0_AWSIZE,M_AXI_GP0_ARPROT,M_AXI_GP0_AWPROT,M_AXI_GP0_ARADDR,M_AXI_GP0_AWADDR,M_AXI_GP0_WDATA,M_AXI_GP0_ARCACHE,M_AXI_GP0_ARLEN,M_AXI_GP0_ARQOS,M_AXI_GP0_AWCACHE,M_AXI_GP0_AWLEN,M_AXI_GP0_AWQOS,M_AXI_GP0_WSTRB,M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID,M_AXI_GP0_RID,M_AXI_GP0_BRESP,M_AXI_GP0_RRESP,M_AXI_GP0_RDATA,S_AXI_HP0_ARREADY,S_AXI_HP0_AWREADY,S_AXI_HP0_BVALID,S_AXI_HP0_RLAST,S_AXI_HP0_RVALID,S_AXI_HP0_WREADY,S_AXI_HP0_BRESP,S_AXI_HP0_RRESP,S_AXI_HP0_BID,S_AXI_HP0_RID,S_AXI_HP0_RDATA,S_AXI_HP0_RCOUNT,S_AXI_HP0_WCOUNT,S_AXI_HP0_RACOUNT,S_AXI_HP0_WACOUNT,S_AXI_HP0_ACLK,S_AXI_HP0_ARVALID,S_AXI_HP0_AWVALID,S_AXI_HP0_BREADY,S_AXI_HP0_RDISSUECAP1_EN,S_AXI_HP0_RREADY,S_AXI_HP0_WLAST,S_AXI_HP0_WRISSUECAP1_EN,S_AXI_HP0_WVALID,S_AXI_HP0_ARBURST,S_AXI_HP0_ARLOCK,S_AXI_HP0_ARSIZE,S_AXI_HP0_AWBURST,S_AXI_HP0_AWLOCK,S_AXI_HP0_AWSIZE,S_AXI_HP0_ARPROT,S_AXI_HP0_AWPROT,S_AXI_HP0_ARADDR,S_AXI_HP0_AWADDR,S_AXI_HP0_ARCACHE,S_AXI_HP0_ARLEN,S_AXI_HP0_ARQOS,S_AXI_HP0_AWCACHE,S_AXI_HP0_AWLEN,S_AXI_HP0_AWQOS,S_AXI_HP0_ARID,S_AXI_HP0_AWID,S_AXI_HP0_WID,S_AXI_HP0_WDATA,S_AXI_HP0_WSTRB,IRQ_F2P,FCLK_CLK0,FCLK_CLK1,FCLK_CLK2,FCLK_CLK3,FCLK_RESET0_N,MIO,DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr,DDR_Addr,DDR_VRN,DDR_VRP,DDR_DM,DDR_DQ,DDR_DQS_n,DDR_DQS,PS_SRSTB,PS_CLK,PS_PORB)
209 (* integer foreign = "SystemC";
210 *);
211  output wire [0 : 0] ENET0_GMII_TX_EN;
212  output wire [0 : 0] ENET0_GMII_TX_ER;
213  output wire ENET0_MDIO_MDC;
214  output wire ENET0_MDIO_O;
215  output wire ENET0_MDIO_T;
216  output wire [7 : 0] ENET0_GMII_TXD;
217  input bit ENET0_GMII_COL;
218  input bit ENET0_GMII_CRS;
219  input bit ENET0_GMII_RX_CLK;
220  input bit ENET0_GMII_RX_DV;
221  input bit ENET0_GMII_RX_ER;
222  input bit ENET0_GMII_TX_CLK;
223  input bit ENET0_MDIO_I;
224  input bit ENET0_EXT_INTIN;
225  input bit [7 : 0] ENET0_GMII_RXD;
226  input bit [7 : 0] GPIO_I;
227  output wire [7 : 0] GPIO_O;
228  output wire [7 : 0] GPIO_T;
229  output wire TTC0_WAVE0_OUT;
230  output wire TTC0_WAVE1_OUT;
231  output wire TTC0_WAVE2_OUT;
232  output wire M_AXI_GP0_ARVALID;
233  output wire M_AXI_GP0_AWVALID;
234  output wire M_AXI_GP0_BREADY;
235  output wire M_AXI_GP0_RREADY;
236  output wire M_AXI_GP0_WLAST;
237  output wire M_AXI_GP0_WVALID;
238  output wire [11 : 0] M_AXI_GP0_ARID;
239  output wire [11 : 0] M_AXI_GP0_AWID;
240  output wire [11 : 0] M_AXI_GP0_WID;
241  output wire [1 : 0] M_AXI_GP0_ARBURST;
242  output wire [1 : 0] M_AXI_GP0_ARLOCK;
243  output wire [2 : 0] M_AXI_GP0_ARSIZE;
244  output wire [1 : 0] M_AXI_GP0_AWBURST;
245  output wire [1 : 0] M_AXI_GP0_AWLOCK;
246  output wire [2 : 0] M_AXI_GP0_AWSIZE;
247  output wire [2 : 0] M_AXI_GP0_ARPROT;
248  output wire [2 : 0] M_AXI_GP0_AWPROT;
249  output wire [31 : 0] M_AXI_GP0_ARADDR;
250  output wire [31 : 0] M_AXI_GP0_AWADDR;
251  output wire [31 : 0] M_AXI_GP0_WDATA;
252  output wire [3 : 0] M_AXI_GP0_ARCACHE;
253  output wire [3 : 0] M_AXI_GP0_ARLEN;
254  output wire [3 : 0] M_AXI_GP0_ARQOS;
255  output wire [3 : 0] M_AXI_GP0_AWCACHE;
256  output wire [3 : 0] M_AXI_GP0_AWLEN;
257  output wire [3 : 0] M_AXI_GP0_AWQOS;
258  output wire [3 : 0] M_AXI_GP0_WSTRB;
259  input bit M_AXI_GP0_ACLK;
260  input bit M_AXI_GP0_ARREADY;
261  input bit M_AXI_GP0_AWREADY;
262  input bit M_AXI_GP0_BVALID;
263  input bit M_AXI_GP0_RLAST;
264  input bit M_AXI_GP0_RVALID;
265  input bit M_AXI_GP0_WREADY;
266  input bit [11 : 0] M_AXI_GP0_BID;
267  input bit [11 : 0] M_AXI_GP0_RID;
268  input bit [1 : 0] M_AXI_GP0_BRESP;
269  input bit [1 : 0] M_AXI_GP0_RRESP;
270  input bit [31 : 0] M_AXI_GP0_RDATA;
271  output wire S_AXI_HP0_ARREADY;
272  output wire S_AXI_HP0_AWREADY;
273  output wire S_AXI_HP0_BVALID;
274  output wire S_AXI_HP0_RLAST;
275  output wire S_AXI_HP0_RVALID;
276  output wire S_AXI_HP0_WREADY;
277  output wire [1 : 0] S_AXI_HP0_BRESP;
278  output wire [1 : 0] S_AXI_HP0_RRESP;
279  output wire [5 : 0] S_AXI_HP0_BID;
280  output wire [5 : 0] S_AXI_HP0_RID;
281  output wire [63 : 0] S_AXI_HP0_RDATA;
282  output wire [7 : 0] S_AXI_HP0_RCOUNT;
283  output wire [7 : 0] S_AXI_HP0_WCOUNT;
284  output wire [2 : 0] S_AXI_HP0_RACOUNT;
285  output wire [5 : 0] S_AXI_HP0_WACOUNT;
286  input bit S_AXI_HP0_ACLK;
287  input bit S_AXI_HP0_ARVALID;
288  input bit S_AXI_HP0_AWVALID;
289  input bit S_AXI_HP0_BREADY;
290  input bit S_AXI_HP0_RDISSUECAP1_EN;
291  input bit S_AXI_HP0_RREADY;
292  input bit S_AXI_HP0_WLAST;
293  input bit S_AXI_HP0_WRISSUECAP1_EN;
294  input bit S_AXI_HP0_WVALID;
295  input bit [1 : 0] S_AXI_HP0_ARBURST;
296  input bit [1 : 0] S_AXI_HP0_ARLOCK;
297  input bit [2 : 0] S_AXI_HP0_ARSIZE;
298  input bit [1 : 0] S_AXI_HP0_AWBURST;
299  input bit [1 : 0] S_AXI_HP0_AWLOCK;
300  input bit [2 : 0] S_AXI_HP0_AWSIZE;
301  input bit [2 : 0] S_AXI_HP0_ARPROT;
302  input bit [2 : 0] S_AXI_HP0_AWPROT;
303  input bit [31 : 0] S_AXI_HP0_ARADDR;
304  input bit [31 : 0] S_AXI_HP0_AWADDR;
305  input bit [3 : 0] S_AXI_HP0_ARCACHE;
306  input bit [3 : 0] S_AXI_HP0_ARLEN;
307  input bit [3 : 0] S_AXI_HP0_ARQOS;
308  input bit [3 : 0] S_AXI_HP0_AWCACHE;
309  input bit [3 : 0] S_AXI_HP0_AWLEN;
310  input bit [3 : 0] S_AXI_HP0_AWQOS;
311  input bit [5 : 0] S_AXI_HP0_ARID;
312  input bit [5 : 0] S_AXI_HP0_AWID;
313  input bit [5 : 0] S_AXI_HP0_WID;
314  input bit [63 : 0] S_AXI_HP0_WDATA;
315  input bit [7 : 0] S_AXI_HP0_WSTRB;
316  input bit [0 : 0] IRQ_F2P;
317  output wire FCLK_CLK0;
318  output wire FCLK_CLK1;
319  output wire FCLK_CLK2;
320  output wire FCLK_CLK3;
321  output wire FCLK_RESET0_N;
322  inout wire [53 : 0] MIO;
323  inout wire DDR_CAS_n;
324  inout wire DDR_CKE;
325  inout wire DDR_Clk_n;
326  inout wire DDR_Clk;
327  inout wire DDR_CS_n;
328  inout wire DDR_DRSTB;
329  inout wire DDR_ODT;
330  inout wire DDR_RAS_n;
331  inout wire DDR_WEB;
332  inout wire [2 : 0] DDR_BankAddr;
333  inout wire [14 : 0] DDR_Addr;
334  inout wire DDR_VRN;
335  inout wire DDR_VRP;
336  inout wire [3 : 0] DDR_DM;
337  inout wire [31 : 0] DDR_DQ;
338  inout wire [3 : 0] DDR_DQS_n;
339  inout wire [3 : 0] DDR_DQS;
340  inout wire PS_SRSTB;
341  inout wire PS_CLK;
342  inout wire PS_PORB;
343 endmodule
344 `endif
345 
346 `ifdef RIVIERA
347 (* SC_MODULE_EXPORT *)
348 module design_1_processing_system7_0_0 (ENET0_GMII_TX_EN,ENET0_GMII_TX_ER,ENET0_MDIO_MDC,ENET0_MDIO_O,ENET0_MDIO_T,ENET0_GMII_TXD,ENET0_GMII_COL,ENET0_GMII_CRS,ENET0_GMII_RX_CLK,ENET0_GMII_RX_DV,ENET0_GMII_RX_ER,ENET0_GMII_TX_CLK,ENET0_MDIO_I,ENET0_EXT_INTIN,ENET0_GMII_RXD,GPIO_I,GPIO_O,GPIO_T,TTC0_WAVE0_OUT,TTC0_WAVE1_OUT,TTC0_WAVE2_OUT,M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID,M_AXI_GP0_AWID,M_AXI_GP0_WID,M_AXI_GP0_ARBURST,M_AXI_GP0_ARLOCK,M_AXI_GP0_ARSIZE,M_AXI_GP0_AWBURST,M_AXI_GP0_AWLOCK,M_AXI_GP0_AWSIZE,M_AXI_GP0_ARPROT,M_AXI_GP0_AWPROT,M_AXI_GP0_ARADDR,M_AXI_GP0_AWADDR,M_AXI_GP0_WDATA,M_AXI_GP0_ARCACHE,M_AXI_GP0_ARLEN,M_AXI_GP0_ARQOS,M_AXI_GP0_AWCACHE,M_AXI_GP0_AWLEN,M_AXI_GP0_AWQOS,M_AXI_GP0_WSTRB,M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID,M_AXI_GP0_RID,M_AXI_GP0_BRESP,M_AXI_GP0_RRESP,M_AXI_GP0_RDATA,S_AXI_HP0_ARREADY,S_AXI_HP0_AWREADY,S_AXI_HP0_BVALID,S_AXI_HP0_RLAST,S_AXI_HP0_RVALID,S_AXI_HP0_WREADY,S_AXI_HP0_BRESP,S_AXI_HP0_RRESP,S_AXI_HP0_BID,S_AXI_HP0_RID,S_AXI_HP0_RDATA,S_AXI_HP0_RCOUNT,S_AXI_HP0_WCOUNT,S_AXI_HP0_RACOUNT,S_AXI_HP0_WACOUNT,S_AXI_HP0_ACLK,S_AXI_HP0_ARVALID,S_AXI_HP0_AWVALID,S_AXI_HP0_BREADY,S_AXI_HP0_RDISSUECAP1_EN,S_AXI_HP0_RREADY,S_AXI_HP0_WLAST,S_AXI_HP0_WRISSUECAP1_EN,S_AXI_HP0_WVALID,S_AXI_HP0_ARBURST,S_AXI_HP0_ARLOCK,S_AXI_HP0_ARSIZE,S_AXI_HP0_AWBURST,S_AXI_HP0_AWLOCK,S_AXI_HP0_AWSIZE,S_AXI_HP0_ARPROT,S_AXI_HP0_AWPROT,S_AXI_HP0_ARADDR,S_AXI_HP0_AWADDR,S_AXI_HP0_ARCACHE,S_AXI_HP0_ARLEN,S_AXI_HP0_ARQOS,S_AXI_HP0_AWCACHE,S_AXI_HP0_AWLEN,S_AXI_HP0_AWQOS,S_AXI_HP0_ARID,S_AXI_HP0_AWID,S_AXI_HP0_WID,S_AXI_HP0_WDATA,S_AXI_HP0_WSTRB,IRQ_F2P,FCLK_CLK0,FCLK_CLK1,FCLK_CLK2,FCLK_CLK3,FCLK_RESET0_N,MIO,DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr,DDR_Addr,DDR_VRN,DDR_VRP,DDR_DM,DDR_DQ,DDR_DQS_n,DDR_DQS,PS_SRSTB,PS_CLK,PS_PORB)
349  output wire [0 : 0] ENET0_GMII_TX_EN;
350  output wire [0 : 0] ENET0_GMII_TX_ER;
351  output wire ENET0_MDIO_MDC;
352  output wire ENET0_MDIO_O;
353  output wire ENET0_MDIO_T;
354  output wire [7 : 0] ENET0_GMII_TXD;
355  input bit ENET0_GMII_COL;
356  input bit ENET0_GMII_CRS;
357  input bit ENET0_GMII_RX_CLK;
358  input bit ENET0_GMII_RX_DV;
359  input bit ENET0_GMII_RX_ER;
360  input bit ENET0_GMII_TX_CLK;
361  input bit ENET0_MDIO_I;
362  input bit ENET0_EXT_INTIN;
363  input bit [7 : 0] ENET0_GMII_RXD;
364  input bit [7 : 0] GPIO_I;
365  output wire [7 : 0] GPIO_O;
366  output wire [7 : 0] GPIO_T;
367  output wire TTC0_WAVE0_OUT;
368  output wire TTC0_WAVE1_OUT;
369  output wire TTC0_WAVE2_OUT;
370  output wire M_AXI_GP0_ARVALID;
371  output wire M_AXI_GP0_AWVALID;
372  output wire M_AXI_GP0_BREADY;
373  output wire M_AXI_GP0_RREADY;
374  output wire M_AXI_GP0_WLAST;
375  output wire M_AXI_GP0_WVALID;
376  output wire [11 : 0] M_AXI_GP0_ARID;
377  output wire [11 : 0] M_AXI_GP0_AWID;
378  output wire [11 : 0] M_AXI_GP0_WID;
379  output wire [1 : 0] M_AXI_GP0_ARBURST;
380  output wire [1 : 0] M_AXI_GP0_ARLOCK;
381  output wire [2 : 0] M_AXI_GP0_ARSIZE;
382  output wire [1 : 0] M_AXI_GP0_AWBURST;
383  output wire [1 : 0] M_AXI_GP0_AWLOCK;
384  output wire [2 : 0] M_AXI_GP0_AWSIZE;
385  output wire [2 : 0] M_AXI_GP0_ARPROT;
386  output wire [2 : 0] M_AXI_GP0_AWPROT;
387  output wire [31 : 0] M_AXI_GP0_ARADDR;
388  output wire [31 : 0] M_AXI_GP0_AWADDR;
389  output wire [31 : 0] M_AXI_GP0_WDATA;
390  output wire [3 : 0] M_AXI_GP0_ARCACHE;
391  output wire [3 : 0] M_AXI_GP0_ARLEN;
392  output wire [3 : 0] M_AXI_GP0_ARQOS;
393  output wire [3 : 0] M_AXI_GP0_AWCACHE;
394  output wire [3 : 0] M_AXI_GP0_AWLEN;
395  output wire [3 : 0] M_AXI_GP0_AWQOS;
396  output wire [3 : 0] M_AXI_GP0_WSTRB;
397  input bit M_AXI_GP0_ACLK;
398  input bit M_AXI_GP0_ARREADY;
399  input bit M_AXI_GP0_AWREADY;
400  input bit M_AXI_GP0_BVALID;
401  input bit M_AXI_GP0_RLAST;
402  input bit M_AXI_GP0_RVALID;
403  input bit M_AXI_GP0_WREADY;
404  input bit [11 : 0] M_AXI_GP0_BID;
405  input bit [11 : 0] M_AXI_GP0_RID;
406  input bit [1 : 0] M_AXI_GP0_BRESP;
407  input bit [1 : 0] M_AXI_GP0_RRESP;
408  input bit [31 : 0] M_AXI_GP0_RDATA;
409  output wire S_AXI_HP0_ARREADY;
410  output wire S_AXI_HP0_AWREADY;
411  output wire S_AXI_HP0_BVALID;
412  output wire S_AXI_HP0_RLAST;
413  output wire S_AXI_HP0_RVALID;
414  output wire S_AXI_HP0_WREADY;
415  output wire [1 : 0] S_AXI_HP0_BRESP;
416  output wire [1 : 0] S_AXI_HP0_RRESP;
417  output wire [5 : 0] S_AXI_HP0_BID;
418  output wire [5 : 0] S_AXI_HP0_RID;
419  output wire [63 : 0] S_AXI_HP0_RDATA;
420  output wire [7 : 0] S_AXI_HP0_RCOUNT;
421  output wire [7 : 0] S_AXI_HP0_WCOUNT;
422  output wire [2 : 0] S_AXI_HP0_RACOUNT;
423  output wire [5 : 0] S_AXI_HP0_WACOUNT;
424  input bit S_AXI_HP0_ACLK;
425  input bit S_AXI_HP0_ARVALID;
426  input bit S_AXI_HP0_AWVALID;
427  input bit S_AXI_HP0_BREADY;
428  input bit S_AXI_HP0_RDISSUECAP1_EN;
429  input bit S_AXI_HP0_RREADY;
430  input bit S_AXI_HP0_WLAST;
431  input bit S_AXI_HP0_WRISSUECAP1_EN;
432  input bit S_AXI_HP0_WVALID;
433  input bit [1 : 0] S_AXI_HP0_ARBURST;
434  input bit [1 : 0] S_AXI_HP0_ARLOCK;
435  input bit [2 : 0] S_AXI_HP0_ARSIZE;
436  input bit [1 : 0] S_AXI_HP0_AWBURST;
437  input bit [1 : 0] S_AXI_HP0_AWLOCK;
438  input bit [2 : 0] S_AXI_HP0_AWSIZE;
439  input bit [2 : 0] S_AXI_HP0_ARPROT;
440  input bit [2 : 0] S_AXI_HP0_AWPROT;
441  input bit [31 : 0] S_AXI_HP0_ARADDR;
442  input bit [31 : 0] S_AXI_HP0_AWADDR;
443  input bit [3 : 0] S_AXI_HP0_ARCACHE;
444  input bit [3 : 0] S_AXI_HP0_ARLEN;
445  input bit [3 : 0] S_AXI_HP0_ARQOS;
446  input bit [3 : 0] S_AXI_HP0_AWCACHE;
447  input bit [3 : 0] S_AXI_HP0_AWLEN;
448  input bit [3 : 0] S_AXI_HP0_AWQOS;
449  input bit [5 : 0] S_AXI_HP0_ARID;
450  input bit [5 : 0] S_AXI_HP0_AWID;
451  input bit [5 : 0] S_AXI_HP0_WID;
452  input bit [63 : 0] S_AXI_HP0_WDATA;
453  input bit [7 : 0] S_AXI_HP0_WSTRB;
454  input bit [0 : 0] IRQ_F2P;
455  output wire FCLK_CLK0;
456  output wire FCLK_CLK1;
457  output wire FCLK_CLK2;
458  output wire FCLK_CLK3;
459  output wire FCLK_RESET0_N;
460  inout wire [53 : 0] MIO;
461  inout wire DDR_CAS_n;
462  inout wire DDR_CKE;
463  inout wire DDR_Clk_n;
464  inout wire DDR_Clk;
465  inout wire DDR_CS_n;
466  inout wire DDR_DRSTB;
467  inout wire DDR_ODT;
468  inout wire DDR_RAS_n;
469  inout wire DDR_WEB;
470  inout wire [2 : 0] DDR_BankAddr;
471  inout wire [14 : 0] DDR_Addr;
472  inout wire DDR_VRN;
473  inout wire DDR_VRP;
474  inout wire [3 : 0] DDR_DM;
475  inout wire [31 : 0] DDR_DQ;
476  inout wire [3 : 0] DDR_DQS_n;
477  inout wire [3 : 0] DDR_DQS;
478  inout wire PS_SRSTB;
479  inout wire PS_CLK;
480  inout wire PS_PORB;
481 endmodule
482 `endif
M_AXI_GP0_ARID
bit< 11 :0 > M_AXI_GP0_ARID
Definition: design_1_processing_system7_0_0.sv:179
DDR_DQS_n
bit< 3 :0 > DDR_DQS_n
Definition: design_1_processing_system7_0_0.sv:672
M_AXI_GP0_RVALID
bit M_AXI_GP0_RVALID
Definition: design_1_processing_system7_0_0.sv:205
M_AXI_GP0_WSTRB
bit< 3 :0 > M_AXI_GP0_WSTRB
Definition: design_1_processing_system7_0_0.sv:199
S_AXI_HP0_AWLEN
bit< 3 :0 > S_AXI_HP0_AWLEN
Definition: design_1_processing_system7_0_0.sv:408
S_AXI_HP0_ARLEN
bit< 3 :0 > S_AXI_HP0_ARLEN
Definition: design_1_processing_system7_0_0.sv:405
S_AXI_HP0_ARPROT
bit< 2 :0 > S_AXI_HP0_ARPROT
Definition: design_1_processing_system7_0_0.sv:400
S_AXI_HP0_BID
bit< 5 :0 > S_AXI_HP0_BID
Definition: design_1_processing_system7_0_0.sv:378
S_AXI_HP0_AWLOCK
bit< 1 :0 > S_AXI_HP0_AWLOCK
Definition: design_1_processing_system7_0_0.sv:398
DDR_VRP
bit DDR_VRP
Definition: design_1_processing_system7_0_0.sv:669
M_AXI_GP0_AWVALID
bit M_AXI_GP0_AWVALID
Definition: design_1_processing_system7_0_0.sv:174
DDR_RAS_n
bit DDR_RAS_n
Definition: design_1_processing_system7_0_0.sv:664
S_AXI_HP0_ARVALID
bit S_AXI_HP0_ARVALID
Definition: design_1_processing_system7_0_0.sv:386
M_AXI_GP0_AWQOS
bit< 3 :0 > M_AXI_GP0_AWQOS
Definition: design_1_processing_system7_0_0.sv:198
ENET0_MDIO_T
bit ENET0_MDIO_T
Definition: design_1_processing_system7_0_0.sv:13
DDR_CAS_n
bit DDR_CAS_n
Definition: design_1_processing_system7_0_0.sv:657
S_AXI_HP0_WVALID
bit S_AXI_HP0_WVALID
Definition: design_1_processing_system7_0_0.sv:393
S_AXI_HP0_RRESP
bit< 1 :0 > S_AXI_HP0_RRESP
Definition: design_1_processing_system7_0_0.sv:377
S_AXI_HP0_AWREADY
bit S_AXI_HP0_AWREADY
Definition: design_1_processing_system7_0_0.sv:371
DDR_ODT
bit DDR_ODT
Definition: design_1_processing_system7_0_0.sv:663
ENET0_MDIO_O
bit ENET0_MDIO_O
Definition: design_1_processing_system7_0_0.sv:12
M_AXI_GP0_RRESP
bit< 1 :0 > M_AXI_GP0_RRESP
Definition: design_1_processing_system7_0_0.sv:210
M_AXI_GP0_ARLOCK
bit< 1 :0 > M_AXI_GP0_ARLOCK
Definition: design_1_processing_system7_0_0.sv:183
ENET0_GMII_TXD
bit< 7 :0 > ENET0_GMII_TXD
Definition: design_1_processing_system7_0_0.sv:24
S_AXI_HP0_WSTRB
bit< 7 :0 > S_AXI_HP0_WSTRB
Definition: design_1_processing_system7_0_0.sv:414
ENET0_GMII_RXD
bit< 7 :0 > ENET0_GMII_RXD
Definition: design_1_processing_system7_0_0.sv:33
S_AXI_HP0_WLAST
bit S_AXI_HP0_WLAST
Definition: design_1_processing_system7_0_0.sv:391
DDR_VRN
bit DDR_VRN
Definition: design_1_processing_system7_0_0.sv:668
M_AXI_GP0_AWCACHE
bit< 3 :0 > M_AXI_GP0_AWCACHE
Definition: design_1_processing_system7_0_0.sv:196
S_AXI_HP0_AWPROT
bit< 2 :0 > S_AXI_HP0_AWPROT
Definition: design_1_processing_system7_0_0.sv:401
S_AXI_HP0_ARCACHE
bit< 3 :0 > S_AXI_HP0_ARCACHE
Definition: design_1_processing_system7_0_0.sv:404
ENET0_EXT_INTIN
bit ENET0_EXT_INTIN
Definition: design_1_processing_system7_0_0.sv:32
PS_CLK
bit PS_CLK
Definition: design_1_processing_system7_0_0.sv:675
ENET0_GMII_TX_EN
bit< 0 :0 > ENET0_GMII_TX_EN
Definition: design_1_processing_system7_0_0.sv:9
DDR_DM
bit< 3 :0 > DDR_DM
Definition: design_1_processing_system7_0_0.sv:670
ENET0_GMII_RX_ER
bit ENET0_GMII_RX_ER
Definition: design_1_processing_system7_0_0.sv:29
S_AXI_HP0_RACOUNT
bit< 2 :0 > S_AXI_HP0_RACOUNT
Definition: design_1_processing_system7_0_0.sv:383
S_AXI_HP0_RLAST
bit S_AXI_HP0_RLAST
Definition: design_1_processing_system7_0_0.sv:373
S_AXI_HP0_ARSIZE
bit< 2 :0 > S_AXI_HP0_ARSIZE
Definition: design_1_processing_system7_0_0.sv:396
ENET0_MDIO_MDC
bit ENET0_MDIO_MDC
Definition: design_1_processing_system7_0_0.sv:11
S_AXI_HP0_WACOUNT
bit< 5 :0 > S_AXI_HP0_WACOUNT
Definition: design_1_processing_system7_0_0.sv:384
M_AXI_GP0_AWLOCK
bit< 1 :0 > M_AXI_GP0_AWLOCK
Definition: design_1_processing_system7_0_0.sv:186
S_AXI_HP0_ARADDR
bit< 31 :0 > S_AXI_HP0_ARADDR
Definition: design_1_processing_system7_0_0.sv:402
S_AXI_HP0_AWSIZE
bit< 2 :0 > S_AXI_HP0_AWSIZE
Definition: design_1_processing_system7_0_0.sv:399
FCLK_CLK1
bit FCLK_CLK1
Definition: design_1_processing_system7_0_0.sv:617
M_AXI_GP0_AWLEN
bit< 3 :0 > M_AXI_GP0_AWLEN
Definition: design_1_processing_system7_0_0.sv:197
ENET0_GMII_CRS
bit ENET0_GMII_CRS
Definition: design_1_processing_system7_0_0.sv:26
M_AXI_GP0_RDATA
bit< 31 :0 > M_AXI_GP0_RDATA
Definition: design_1_processing_system7_0_0.sv:211
DDR_CKE
bit DDR_CKE
Definition: design_1_processing_system7_0_0.sv:658
S_AXI_HP0_AWVALID
bit S_AXI_HP0_AWVALID
Definition: design_1_processing_system7_0_0.sv:387
S_AXI_HP0_ARBURST
bit< 1 :0 > S_AXI_HP0_ARBURST
Definition: design_1_processing_system7_0_0.sv:394
S_AXI_HP0_ARLOCK
bit< 1 :0 > S_AXI_HP0_ARLOCK
Definition: design_1_processing_system7_0_0.sv:395
FCLK_CLK0
bit FCLK_CLK0
Definition: design_1_processing_system7_0_0.sv:616
FCLK_RESET0_N
bit FCLK_RESET0_N
Definition: design_1_processing_system7_0_0.sv:624
S_AXI_HP0_WREADY
bit S_AXI_HP0_WREADY
Definition: design_1_processing_system7_0_0.sv:375
S_AXI_HP0_ARQOS
bit< 3 :0 > S_AXI_HP0_ARQOS
Definition: design_1_processing_system7_0_0.sv:406
ENET0_GMII_COL
bit ENET0_GMII_COL
Definition: design_1_processing_system7_0_0.sv:25
M_AXI_GP0_AWSIZE
bit< 2 :0 > M_AXI_GP0_AWSIZE
Definition: design_1_processing_system7_0_0.sv:187
M_AXI_GP0_AWADDR
bit< 31 :0 > M_AXI_GP0_AWADDR
Definition: design_1_processing_system7_0_0.sv:191
M_AXI_GP0_AWID
bit< 11 :0 > M_AXI_GP0_AWID
Definition: design_1_processing_system7_0_0.sv:180
S_AXI_HP0_WID
bit< 5 :0 > S_AXI_HP0_WID
Definition: design_1_processing_system7_0_0.sv:412
M_AXI_GP0_ARVALID
bit M_AXI_GP0_ARVALID
Definition: design_1_processing_system7_0_0.sv:173
S_AXI_HP0_RID
bit< 5 :0 > S_AXI_HP0_RID
Definition: design_1_processing_system7_0_0.sv:379
M_AXI_GP0_ARPROT
bit< 2 :0 > M_AXI_GP0_ARPROT
Definition: design_1_processing_system7_0_0.sv:188
S_AXI_HP0_BVALID
bit S_AXI_HP0_BVALID
Definition: design_1_processing_system7_0_0.sv:372
DDR_DQ
bit< 31 :0 > DDR_DQ
Definition: design_1_processing_system7_0_0.sv:671
S_AXI_HP0_AWADDR
bit< 31 :0 > S_AXI_HP0_AWADDR
Definition: design_1_processing_system7_0_0.sv:403
ENET0_GMII_TX_CLK
bit ENET0_GMII_TX_CLK
Definition: design_1_processing_system7_0_0.sv:30
FCLK_CLK3
bit FCLK_CLK3
Definition: design_1_processing_system7_0_0.sv:619
DDR_WEB
bit DDR_WEB
Definition: design_1_processing_system7_0_0.sv:665
M_AXI_GP0_ARQOS
bit< 3 :0 > M_AXI_GP0_ARQOS
Definition: design_1_processing_system7_0_0.sv:195
S_AXI_HP0_RREADY
bit S_AXI_HP0_RREADY
Definition: design_1_processing_system7_0_0.sv:390
M_AXI_GP0_AWREADY
bit M_AXI_GP0_AWREADY
Definition: design_1_processing_system7_0_0.sv:202
IRQ_F2P
bit< 0 :0 > IRQ_F2P
Definition: design_1_processing_system7_0_0.sv:579
PS_SRSTB
bit PS_SRSTB
Definition: design_1_processing_system7_0_0.sv:674
TTC0_WAVE0_OUT
bit TTC0_WAVE0_OUT
Definition: design_1_processing_system7_0_0.sv:148
TTC0_WAVE2_OUT
bit TTC0_WAVE2_OUT
Definition: design_1_processing_system7_0_0.sv:150
M_AXI_GP0_ARADDR
bit< 31 :0 > M_AXI_GP0_ARADDR
Definition: design_1_processing_system7_0_0.sv:190
M_AXI_GP0_ARCACHE
bit< 3 :0 > M_AXI_GP0_ARCACHE
Definition: design_1_processing_system7_0_0.sv:193
design_1_processing_system7_0_0
module design_1_processing_system7_0_0(ENET0_GMII_TX_EN, ENET0_GMII_TX_ER, ENET0_MDIO_MDC, ENET0_MDIO_O, ENET0_MDIO_T, ENET0_GMII_TXD, ENET0_GMII_COL, ENET0_GMII_CRS, ENET0_GMII_RX_CLK, ENET0_GMII_RX_DV, ENET0_GMII_RX_ER, ENET0_GMII_TX_CLK, ENET0_MDIO_I, ENET0_EXT_INTIN, ENET0_GMII_RXD, GPIO_I, GPIO_O, GPIO_T, TTC0_WAVE0_OUT, TTC0_WAVE1_OUT, TTC0_WAVE2_OUT, M_AXI_GP0_ARVALID, M_AXI_GP0_AWVALID, M_AXI_GP0_BREADY, M_AXI_GP0_RREADY, M_AXI_GP0_WLAST, M_AXI_GP0_WVALID, M_AXI_GP0_ARID, M_AXI_GP0_AWID, M_AXI_GP0_WID, M_AXI_GP0_ARBURST, M_AXI_GP0_ARLOCK, M_AXI_GP0_ARSIZE, M_AXI_GP0_AWBURST, M_AXI_GP0_AWLOCK, M_AXI_GP0_AWSIZE, M_AXI_GP0_ARPROT, M_AXI_GP0_AWPROT, M_AXI_GP0_ARADDR, M_AXI_GP0_AWADDR, M_AXI_GP0_WDATA, M_AXI_GP0_ARCACHE, M_AXI_GP0_ARLEN, M_AXI_GP0_ARQOS, M_AXI_GP0_AWCACHE, M_AXI_GP0_AWLEN, M_AXI_GP0_AWQOS, M_AXI_GP0_WSTRB, M_AXI_GP0_ACLK, M_AXI_GP0_ARREADY, M_AXI_GP0_AWREADY, M_AXI_GP0_BVALID, M_AXI_GP0_RLAST, M_AXI_GP0_RVALID, M_AXI_GP0_WREADY, M_AXI_GP0_BID, M_AXI_GP0_RID, M_AXI_GP0_BRESP, M_AXI_GP0_RRESP, M_AXI_GP0_RDATA, S_AXI_HP0_ARREADY, S_AXI_HP0_AWREADY, S_AXI_HP0_BVALID, S_AXI_HP0_RLAST, S_AXI_HP0_RVALID, S_AXI_HP0_WREADY, S_AXI_HP0_BRESP, S_AXI_HP0_RRESP, S_AXI_HP0_BID, S_AXI_HP0_RID, S_AXI_HP0_RDATA, S_AXI_HP0_RCOUNT, S_AXI_HP0_WCOUNT, S_AXI_HP0_RACOUNT, S_AXI_HP0_WACOUNT, S_AXI_HP0_ACLK, S_AXI_HP0_ARVALID, S_AXI_HP0_AWVALID, S_AXI_HP0_BREADY, S_AXI_HP0_RDISSUECAP1_EN, S_AXI_HP0_RREADY, S_AXI_HP0_WLAST, S_AXI_HP0_WRISSUECAP1_EN, S_AXI_HP0_WVALID, S_AXI_HP0_ARBURST, S_AXI_HP0_ARLOCK, S_AXI_HP0_ARSIZE, S_AXI_HP0_AWBURST, S_AXI_HP0_AWLOCK, S_AXI_HP0_AWSIZE, S_AXI_HP0_ARPROT, S_AXI_HP0_AWPROT, S_AXI_HP0_ARADDR, S_AXI_HP0_AWADDR, S_AXI_HP0_ARCACHE, S_AXI_HP0_ARLEN, S_AXI_HP0_ARQOS, S_AXI_HP0_AWCACHE, S_AXI_HP0_AWLEN, S_AXI_HP0_AWQOS, S_AXI_HP0_ARID, S_AXI_HP0_AWID, S_AXI_HP0_WID, S_AXI_HP0_WDATA, S_AXI_HP0_WSTRB, IRQ_F2P, FCLK_CLK0, FCLK_CLK1, FCLK_CLK2, FCLK_CLK3, FCLK_RESET0_N, MIO, DDR_CAS_n, DDR_CKE, DDR_Clk_n, DDR_Clk, DDR_CS_n, DDR_DRSTB, DDR_ODT, DDR_RAS_n, DDR_WEB, DDR_BankAddr, DDR_Addr, DDR_VRN, DDR_VRP, DDR_DM, DDR_DQ, DDR_DQS_n, DDR_DQS, PS_SRSTB, PS_CLK, PS_PORB)
Definition: design_1_processing_system7_0_0.sv:679
M_AXI_GP0_WVALID
bit M_AXI_GP0_WVALID
Definition: design_1_processing_system7_0_0.sv:178
ENET0_MDIO_I
bit ENET0_MDIO_I
Definition: design_1_processing_system7_0_0.sv:31
MIO
bit< 53 :0 > MIO
Definition: design_1_processing_system7_0_0.sv:656
GPIO_I
bit< 7 :0 > GPIO_I
Definition: design_1_processing_system7_0_0.sv:59
S_AXI_HP0_RVALID
bit S_AXI_HP0_RVALID
Definition: design_1_processing_system7_0_0.sv:374
ENET0_GMII_RX_DV
bit ENET0_GMII_RX_DV
Definition: design_1_processing_system7_0_0.sv:28
DDR_BankAddr
bit< 2 :0 > DDR_BankAddr
Definition: design_1_processing_system7_0_0.sv:666
PS_PORB
bit PS_PORB
Definition: design_1_processing_system7_0_0.sv:676
GPIO_O
bit< 7 :0 > GPIO_O
Definition: design_1_processing_system7_0_0.sv:60
S_AXI_HP0_WRISSUECAP1_EN
bit S_AXI_HP0_WRISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:392
S_AXI_HP0_WDATA
bit< 63 :0 > S_AXI_HP0_WDATA
Definition: design_1_processing_system7_0_0.sv:413
S_AXI_HP0_BREADY
bit S_AXI_HP0_BREADY
Definition: design_1_processing_system7_0_0.sv:388
DDR_DQS
bit< 3 :0 > DDR_DQS
Definition: design_1_processing_system7_0_0.sv:673
S_AXI_HP0_RDISSUECAP1_EN
bit S_AXI_HP0_RDISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:389
S_AXI_HP0_RCOUNT
bit< 7 :0 > S_AXI_HP0_RCOUNT
Definition: design_1_processing_system7_0_0.sv:381
M_AXI_GP0_WDATA
bit< 31 :0 > M_AXI_GP0_WDATA
Definition: design_1_processing_system7_0_0.sv:192
S_AXI_HP0_WCOUNT
bit< 7 :0 > S_AXI_HP0_WCOUNT
Definition: design_1_processing_system7_0_0.sv:382
M_AXI_GP0_BID
bit< 11 :0 > M_AXI_GP0_BID
Definition: design_1_processing_system7_0_0.sv:207
S_AXI_HP0_RDATA
bit< 63 :0 > S_AXI_HP0_RDATA
Definition: design_1_processing_system7_0_0.sv:380
M_AXI_GP0_WREADY
bit M_AXI_GP0_WREADY
Definition: design_1_processing_system7_0_0.sv:206
M_AXI_GP0_AWBURST
bit< 1 :0 > M_AXI_GP0_AWBURST
Definition: design_1_processing_system7_0_0.sv:185
M_AXI_GP0_ARREADY
bit M_AXI_GP0_ARREADY
Definition: design_1_processing_system7_0_0.sv:201
M_AXI_GP0_RID
bit< 11 :0 > M_AXI_GP0_RID
Definition: design_1_processing_system7_0_0.sv:208
ENET0_GMII_TX_ER
bit< 0 :0 > ENET0_GMII_TX_ER
Definition: design_1_processing_system7_0_0.sv:10
M_AXI_GP0_AWPROT
bit< 2 :0 > M_AXI_GP0_AWPROT
Definition: design_1_processing_system7_0_0.sv:189
ENET0_GMII_RX_CLK
bit ENET0_GMII_RX_CLK
Definition: design_1_processing_system7_0_0.sv:27
M_AXI_GP0_RREADY
bit M_AXI_GP0_RREADY
Definition: design_1_processing_system7_0_0.sv:176
S_AXI_HP0_ARID
bit< 5 :0 > S_AXI_HP0_ARID
Definition: design_1_processing_system7_0_0.sv:410
DDR_Clk
bit DDR_Clk
Definition: design_1_processing_system7_0_0.sv:660
DDR_Clk_n
bit DDR_Clk_n
Definition: design_1_processing_system7_0_0.sv:659
DDR_Addr
bit< 14 :0 > DDR_Addr
Definition: design_1_processing_system7_0_0.sv:667
M_AXI_GP0_WID
bit< 11 :0 > M_AXI_GP0_WID
Definition: design_1_processing_system7_0_0.sv:181
M_AXI_GP0_BRESP
bit< 1 :0 > M_AXI_GP0_BRESP
Definition: design_1_processing_system7_0_0.sv:209
M_AXI_GP0_RLAST
bit M_AXI_GP0_RLAST
Definition: design_1_processing_system7_0_0.sv:204
M_AXI_GP0_WLAST
bit M_AXI_GP0_WLAST
Definition: design_1_processing_system7_0_0.sv:177
S_AXI_HP0_ACLK
bit S_AXI_HP0_ACLK
Definition: design_1_processing_system7_0_0.sv:385
S_AXI_HP0_AWCACHE
bit< 3 :0 > S_AXI_HP0_AWCACHE
Definition: design_1_processing_system7_0_0.sv:407
M_AXI_GP0_ARBURST
bit< 1 :0 > M_AXI_GP0_ARBURST
Definition: design_1_processing_system7_0_0.sv:182
S_AXI_HP0_BRESP
bit< 1 :0 > S_AXI_HP0_BRESP
Definition: design_1_processing_system7_0_0.sv:376
DDR_DRSTB
bit DDR_DRSTB
Definition: design_1_processing_system7_0_0.sv:662
GPIO_T
bit< 7 :0 > GPIO_T
Definition: design_1_processing_system7_0_0.sv:61
S_AXI_HP0_AWQOS
bit< 3 :0 > S_AXI_HP0_AWQOS
Definition: design_1_processing_system7_0_0.sv:409
FCLK_CLK2
bit FCLK_CLK2
Definition: design_1_processing_system7_0_0.sv:618
S_AXI_HP0_ARREADY
bit S_AXI_HP0_ARREADY
Definition: design_1_processing_system7_0_0.sv:370
M_AXI_GP0_BREADY
bit M_AXI_GP0_BREADY
Definition: design_1_processing_system7_0_0.sv:175
M_AXI_GP0_ARSIZE
bit< 2 :0 > M_AXI_GP0_ARSIZE
Definition: design_1_processing_system7_0_0.sv:184
S_AXI_HP0_AWBURST
bit< 1 :0 > S_AXI_HP0_AWBURST
Definition: design_1_processing_system7_0_0.sv:397
M_AXI_GP0_ACLK
bit M_AXI_GP0_ACLK
Definition: design_1_processing_system7_0_0.sv:200
S_AXI_HP0_AWID
bit< 5 :0 > S_AXI_HP0_AWID
Definition: design_1_processing_system7_0_0.sv:411
DDR_CS_n
bit DDR_CS_n
Definition: design_1_processing_system7_0_0.sv:661
TTC0_WAVE1_OUT
bit TTC0_WAVE1_OUT
Definition: design_1_processing_system7_0_0.sv:149
M_AXI_GP0_ARLEN
bit< 3 :0 > M_AXI_GP0_ARLEN
Definition: design_1_processing_system7_0_0.sv:194
M_AXI_GP0_BVALID
bit M_AXI_GP0_BVALID
Definition: design_1_processing_system7_0_0.sv:203