SimpleVOut  1.0.0 Initial
A Simple FPGA Core for Creating VGA/DVI/HDMI/OpenLDI Signals
design_1_processing_system7_0_0.sv
Go to the documentation of this file.
1 `timescale 1ns/1ps
2 
3 //PORTS
4 
9  bit [0 : 0] ENET0_GMII_TX_EN;
10  bit [0 : 0] ENET0_GMII_TX_ER;
24  bit [7 : 0] ENET0_GMII_TXD;
33  bit [7 : 0] ENET0_GMII_RXD;
34  bit [0 : 0] ENET1_GMII_TX_EN;
35  bit [0 : 0] ENET1_GMII_TX_ER;
49  bit [7 : 0] ENET1_GMII_TXD;
58  bit [7 : 0] ENET1_GMII_RXD;
59  bit [7 : 0] GPIO_I;
60  bit [7 : 0] GPIO_O;
61  bit [7 : 0] GPIO_T;
74  bit PJTAG_TCK;
75  bit PJTAG_TMS;
76  bit PJTAG_TDI;
77  bit PJTAG_TDO;
78  bit SDIO0_CLK;
83  bit [3 : 0] SDIO0_DATA_I;
84  bit [3 : 0] SDIO0_DATA_O;
85  bit [3 : 0] SDIO0_DATA_T;
86  bit SDIO0_LED;
87  bit SDIO0_CDN;
88  bit SDIO0_WP;
90  bit [2 : 0] SDIO0_BUSVOLT;
91  bit SDIO1_CLK;
96  bit [3 : 0] SDIO1_DATA_I;
97  bit [3 : 0] SDIO1_DATA_O;
98  bit [3 : 0] SDIO1_DATA_T;
99  bit SDIO1_LED;
101  bit SDIO1_WP;
103  bit [2 : 0] SDIO1_BUSVOLT;
134  bit UART0_TX;
139  bit UART0_RX;
142  bit UART1_TX;
147  bit UART1_RX;
165  bit [1 : 0] TRACE_DATA;
166  bit [1 : 0] USB0_PORT_INDCTL;
169  bit [1 : 0] USB1_PORT_INDCTL;
179  bit [11 : 0] M_AXI_GP0_ARID;
180  bit [11 : 0] M_AXI_GP0_AWID;
181  bit [11 : 0] M_AXI_GP0_WID;
182  bit [1 : 0] M_AXI_GP0_ARBURST;
183  bit [1 : 0] M_AXI_GP0_ARLOCK;
184  bit [2 : 0] M_AXI_GP0_ARSIZE;
185  bit [1 : 0] M_AXI_GP0_AWBURST;
186  bit [1 : 0] M_AXI_GP0_AWLOCK;
187  bit [2 : 0] M_AXI_GP0_AWSIZE;
188  bit [2 : 0] M_AXI_GP0_ARPROT;
189  bit [2 : 0] M_AXI_GP0_AWPROT;
190  bit [31 : 0] M_AXI_GP0_ARADDR;
191  bit [31 : 0] M_AXI_GP0_AWADDR;
192  bit [31 : 0] M_AXI_GP0_WDATA;
193  bit [3 : 0] M_AXI_GP0_ARCACHE;
194  bit [3 : 0] M_AXI_GP0_ARLEN;
195  bit [3 : 0] M_AXI_GP0_ARQOS;
196  bit [3 : 0] M_AXI_GP0_AWCACHE;
197  bit [3 : 0] M_AXI_GP0_AWLEN;
198  bit [3 : 0] M_AXI_GP0_AWQOS;
199  bit [3 : 0] M_AXI_GP0_WSTRB;
207  bit [11 : 0] M_AXI_GP0_BID;
208  bit [11 : 0] M_AXI_GP0_RID;
209  bit [1 : 0] M_AXI_GP0_BRESP;
210  bit [1 : 0] M_AXI_GP0_RRESP;
211  bit [31 : 0] M_AXI_GP0_RDATA;
218  bit [11 : 0] M_AXI_GP1_ARID;
219  bit [11 : 0] M_AXI_GP1_AWID;
220  bit [11 : 0] M_AXI_GP1_WID;
221  bit [1 : 0] M_AXI_GP1_ARBURST;
222  bit [1 : 0] M_AXI_GP1_ARLOCK;
223  bit [2 : 0] M_AXI_GP1_ARSIZE;
224  bit [1 : 0] M_AXI_GP1_AWBURST;
225  bit [1 : 0] M_AXI_GP1_AWLOCK;
226  bit [2 : 0] M_AXI_GP1_AWSIZE;
227  bit [2 : 0] M_AXI_GP1_ARPROT;
228  bit [2 : 0] M_AXI_GP1_AWPROT;
229  bit [31 : 0] M_AXI_GP1_ARADDR;
230  bit [31 : 0] M_AXI_GP1_AWADDR;
231  bit [31 : 0] M_AXI_GP1_WDATA;
232  bit [3 : 0] M_AXI_GP1_ARCACHE;
233  bit [3 : 0] M_AXI_GP1_ARLEN;
234  bit [3 : 0] M_AXI_GP1_ARQOS;
235  bit [3 : 0] M_AXI_GP1_AWCACHE;
236  bit [3 : 0] M_AXI_GP1_AWLEN;
237  bit [3 : 0] M_AXI_GP1_AWQOS;
238  bit [3 : 0] M_AXI_GP1_WSTRB;
246  bit [11 : 0] M_AXI_GP1_BID;
247  bit [11 : 0] M_AXI_GP1_RID;
248  bit [1 : 0] M_AXI_GP1_BRESP;
249  bit [1 : 0] M_AXI_GP1_RRESP;
250  bit [31 : 0] M_AXI_GP1_RDATA;
257  bit [1 : 0] S_AXI_GP0_BRESP;
258  bit [1 : 0] S_AXI_GP0_RRESP;
259  bit [31 : 0] S_AXI_GP0_RDATA;
260  bit [5 : 0] S_AXI_GP0_BID;
261  bit [5 : 0] S_AXI_GP0_RID;
269  bit [1 : 0] S_AXI_GP0_ARBURST;
270  bit [1 : 0] S_AXI_GP0_ARLOCK;
271  bit [2 : 0] S_AXI_GP0_ARSIZE;
272  bit [1 : 0] S_AXI_GP0_AWBURST;
273  bit [1 : 0] S_AXI_GP0_AWLOCK;
274  bit [2 : 0] S_AXI_GP0_AWSIZE;
275  bit [2 : 0] S_AXI_GP0_ARPROT;
276  bit [2 : 0] S_AXI_GP0_AWPROT;
277  bit [31 : 0] S_AXI_GP0_ARADDR;
278  bit [31 : 0] S_AXI_GP0_AWADDR;
279  bit [31 : 0] S_AXI_GP0_WDATA;
280  bit [3 : 0] S_AXI_GP0_ARCACHE;
281  bit [3 : 0] S_AXI_GP0_ARLEN;
282  bit [3 : 0] S_AXI_GP0_ARQOS;
283  bit [3 : 0] S_AXI_GP0_AWCACHE;
284  bit [3 : 0] S_AXI_GP0_AWLEN;
285  bit [3 : 0] S_AXI_GP0_AWQOS;
286  bit [3 : 0] S_AXI_GP0_WSTRB;
287  bit [5 : 0] S_AXI_GP0_ARID;
288  bit [5 : 0] S_AXI_GP0_AWID;
289  bit [5 : 0] S_AXI_GP0_WID;
296  bit [1 : 0] S_AXI_GP1_BRESP;
297  bit [1 : 0] S_AXI_GP1_RRESP;
298  bit [31 : 0] S_AXI_GP1_RDATA;
299  bit [5 : 0] S_AXI_GP1_BID;
300  bit [5 : 0] S_AXI_GP1_RID;
308  bit [1 : 0] S_AXI_GP1_ARBURST;
309  bit [1 : 0] S_AXI_GP1_ARLOCK;
310  bit [2 : 0] S_AXI_GP1_ARSIZE;
311  bit [1 : 0] S_AXI_GP1_AWBURST;
312  bit [1 : 0] S_AXI_GP1_AWLOCK;
313  bit [2 : 0] S_AXI_GP1_AWSIZE;
314  bit [2 : 0] S_AXI_GP1_ARPROT;
315  bit [2 : 0] S_AXI_GP1_AWPROT;
316  bit [31 : 0] S_AXI_GP1_ARADDR;
317  bit [31 : 0] S_AXI_GP1_AWADDR;
318  bit [31 : 0] S_AXI_GP1_WDATA;
319  bit [3 : 0] S_AXI_GP1_ARCACHE;
320  bit [3 : 0] S_AXI_GP1_ARLEN;
321  bit [3 : 0] S_AXI_GP1_ARQOS;
322  bit [3 : 0] S_AXI_GP1_AWCACHE;
323  bit [3 : 0] S_AXI_GP1_AWLEN;
324  bit [3 : 0] S_AXI_GP1_AWQOS;
325  bit [3 : 0] S_AXI_GP1_WSTRB;
326  bit [5 : 0] S_AXI_GP1_ARID;
327  bit [5 : 0] S_AXI_GP1_AWID;
328  bit [5 : 0] S_AXI_GP1_WID;
335  bit [1 : 0] S_AXI_ACP_BRESP;
336  bit [1 : 0] S_AXI_ACP_RRESP;
337  bit [2 : 0] S_AXI_ACP_BID;
338  bit [2 : 0] S_AXI_ACP_RID;
339  bit [63 : 0] S_AXI_ACP_RDATA;
347  bit [2 : 0] S_AXI_ACP_ARID;
348  bit [2 : 0] S_AXI_ACP_ARPROT;
349  bit [2 : 0] S_AXI_ACP_AWID;
350  bit [2 : 0] S_AXI_ACP_AWPROT;
351  bit [2 : 0] S_AXI_ACP_WID;
352  bit [31 : 0] S_AXI_ACP_ARADDR;
353  bit [31 : 0] S_AXI_ACP_AWADDR;
354  bit [3 : 0] S_AXI_ACP_ARCACHE;
355  bit [3 : 0] S_AXI_ACP_ARLEN;
356  bit [3 : 0] S_AXI_ACP_ARQOS;
357  bit [3 : 0] S_AXI_ACP_AWCACHE;
358  bit [3 : 0] S_AXI_ACP_AWLEN;
359  bit [3 : 0] S_AXI_ACP_AWQOS;
360  bit [1 : 0] S_AXI_ACP_ARBURST;
361  bit [1 : 0] S_AXI_ACP_ARLOCK;
362  bit [2 : 0] S_AXI_ACP_ARSIZE;
363  bit [1 : 0] S_AXI_ACP_AWBURST;
364  bit [1 : 0] S_AXI_ACP_AWLOCK;
365  bit [2 : 0] S_AXI_ACP_AWSIZE;
366  bit [4 : 0] S_AXI_ACP_ARUSER;
367  bit [4 : 0] S_AXI_ACP_AWUSER;
368  bit [63 : 0] S_AXI_ACP_WDATA;
369  bit [7 : 0] S_AXI_ACP_WSTRB;
376  bit [1 : 0] S_AXI_HP0_BRESP;
377  bit [1 : 0] S_AXI_HP0_RRESP;
378  bit [5 : 0] S_AXI_HP0_BID;
379  bit [5 : 0] S_AXI_HP0_RID;
380  bit [63 : 0] S_AXI_HP0_RDATA;
381  bit [7 : 0] S_AXI_HP0_RCOUNT;
382  bit [7 : 0] S_AXI_HP0_WCOUNT;
383  bit [2 : 0] S_AXI_HP0_RACOUNT;
384  bit [5 : 0] S_AXI_HP0_WACOUNT;
394  bit [1 : 0] S_AXI_HP0_ARBURST;
395  bit [1 : 0] S_AXI_HP0_ARLOCK;
396  bit [2 : 0] S_AXI_HP0_ARSIZE;
397  bit [1 : 0] S_AXI_HP0_AWBURST;
398  bit [1 : 0] S_AXI_HP0_AWLOCK;
399  bit [2 : 0] S_AXI_HP0_AWSIZE;
400  bit [2 : 0] S_AXI_HP0_ARPROT;
401  bit [2 : 0] S_AXI_HP0_AWPROT;
402  bit [31 : 0] S_AXI_HP0_ARADDR;
403  bit [31 : 0] S_AXI_HP0_AWADDR;
404  bit [3 : 0] S_AXI_HP0_ARCACHE;
405  bit [3 : 0] S_AXI_HP0_ARLEN;
406  bit [3 : 0] S_AXI_HP0_ARQOS;
407  bit [3 : 0] S_AXI_HP0_AWCACHE;
408  bit [3 : 0] S_AXI_HP0_AWLEN;
409  bit [3 : 0] S_AXI_HP0_AWQOS;
410  bit [5 : 0] S_AXI_HP0_ARID;
411  bit [5 : 0] S_AXI_HP0_AWID;
412  bit [5 : 0] S_AXI_HP0_WID;
413  bit [63 : 0] S_AXI_HP0_WDATA;
414  bit [7 : 0] S_AXI_HP0_WSTRB;
421  bit [1 : 0] S_AXI_HP1_BRESP;
422  bit [1 : 0] S_AXI_HP1_RRESP;
423  bit [5 : 0] S_AXI_HP1_BID;
424  bit [5 : 0] S_AXI_HP1_RID;
425  bit [63 : 0] S_AXI_HP1_RDATA;
426  bit [7 : 0] S_AXI_HP1_RCOUNT;
427  bit [7 : 0] S_AXI_HP1_WCOUNT;
428  bit [2 : 0] S_AXI_HP1_RACOUNT;
429  bit [5 : 0] S_AXI_HP1_WACOUNT;
439  bit [1 : 0] S_AXI_HP1_ARBURST;
440  bit [1 : 0] S_AXI_HP1_ARLOCK;
441  bit [2 : 0] S_AXI_HP1_ARSIZE;
442  bit [1 : 0] S_AXI_HP1_AWBURST;
443  bit [1 : 0] S_AXI_HP1_AWLOCK;
444  bit [2 : 0] S_AXI_HP1_AWSIZE;
445  bit [2 : 0] S_AXI_HP1_ARPROT;
446  bit [2 : 0] S_AXI_HP1_AWPROT;
447  bit [31 : 0] S_AXI_HP1_ARADDR;
448  bit [31 : 0] S_AXI_HP1_AWADDR;
449  bit [3 : 0] S_AXI_HP1_ARCACHE;
450  bit [3 : 0] S_AXI_HP1_ARLEN;
451  bit [3 : 0] S_AXI_HP1_ARQOS;
452  bit [3 : 0] S_AXI_HP1_AWCACHE;
453  bit [3 : 0] S_AXI_HP1_AWLEN;
454  bit [3 : 0] S_AXI_HP1_AWQOS;
455  bit [5 : 0] S_AXI_HP1_ARID;
456  bit [5 : 0] S_AXI_HP1_AWID;
457  bit [5 : 0] S_AXI_HP1_WID;
458  bit [63 : 0] S_AXI_HP1_WDATA;
459  bit [7 : 0] S_AXI_HP1_WSTRB;
466  bit [1 : 0] S_AXI_HP2_BRESP;
467  bit [1 : 0] S_AXI_HP2_RRESP;
468  bit [5 : 0] S_AXI_HP2_BID;
469  bit [5 : 0] S_AXI_HP2_RID;
470  bit [63 : 0] S_AXI_HP2_RDATA;
471  bit [7 : 0] S_AXI_HP2_RCOUNT;
472  bit [7 : 0] S_AXI_HP2_WCOUNT;
473  bit [2 : 0] S_AXI_HP2_RACOUNT;
474  bit [5 : 0] S_AXI_HP2_WACOUNT;
484  bit [1 : 0] S_AXI_HP2_ARBURST;
485  bit [1 : 0] S_AXI_HP2_ARLOCK;
486  bit [2 : 0] S_AXI_HP2_ARSIZE;
487  bit [1 : 0] S_AXI_HP2_AWBURST;
488  bit [1 : 0] S_AXI_HP2_AWLOCK;
489  bit [2 : 0] S_AXI_HP2_AWSIZE;
490  bit [2 : 0] S_AXI_HP2_ARPROT;
491  bit [2 : 0] S_AXI_HP2_AWPROT;
492  bit [31 : 0] S_AXI_HP2_ARADDR;
493  bit [31 : 0] S_AXI_HP2_AWADDR;
494  bit [3 : 0] S_AXI_HP2_ARCACHE;
495  bit [3 : 0] S_AXI_HP2_ARLEN;
496  bit [3 : 0] S_AXI_HP2_ARQOS;
497  bit [3 : 0] S_AXI_HP2_AWCACHE;
498  bit [3 : 0] S_AXI_HP2_AWLEN;
499  bit [3 : 0] S_AXI_HP2_AWQOS;
500  bit [5 : 0] S_AXI_HP2_ARID;
501  bit [5 : 0] S_AXI_HP2_AWID;
502  bit [5 : 0] S_AXI_HP2_WID;
503  bit [63 : 0] S_AXI_HP2_WDATA;
504  bit [7 : 0] S_AXI_HP2_WSTRB;
511  bit [1 : 0] S_AXI_HP3_BRESP;
512  bit [1 : 0] S_AXI_HP3_RRESP;
513  bit [5 : 0] S_AXI_HP3_BID;
514  bit [5 : 0] S_AXI_HP3_RID;
515  bit [63 : 0] S_AXI_HP3_RDATA;
516  bit [7 : 0] S_AXI_HP3_RCOUNT;
517  bit [7 : 0] S_AXI_HP3_WCOUNT;
518  bit [2 : 0] S_AXI_HP3_RACOUNT;
519  bit [5 : 0] S_AXI_HP3_WACOUNT;
529  bit [1 : 0] S_AXI_HP3_ARBURST;
530  bit [1 : 0] S_AXI_HP3_ARLOCK;
531  bit [2 : 0] S_AXI_HP3_ARSIZE;
532  bit [1 : 0] S_AXI_HP3_AWBURST;
533  bit [1 : 0] S_AXI_HP3_AWLOCK;
534  bit [2 : 0] S_AXI_HP3_AWSIZE;
535  bit [2 : 0] S_AXI_HP3_ARPROT;
536  bit [2 : 0] S_AXI_HP3_AWPROT;
537  bit [31 : 0] S_AXI_HP3_ARADDR;
538  bit [31 : 0] S_AXI_HP3_AWADDR;
539  bit [3 : 0] S_AXI_HP3_ARCACHE;
540  bit [3 : 0] S_AXI_HP3_ARLEN;
541  bit [3 : 0] S_AXI_HP3_ARQOS;
542  bit [3 : 0] S_AXI_HP3_AWCACHE;
543  bit [3 : 0] S_AXI_HP3_AWLEN;
544  bit [3 : 0] S_AXI_HP3_AWQOS;
545  bit [5 : 0] S_AXI_HP3_ARID;
546  bit [5 : 0] S_AXI_HP3_AWID;
547  bit [5 : 0] S_AXI_HP3_WID;
548  bit [63 : 0] S_AXI_HP3_WDATA;
549  bit [7 : 0] S_AXI_HP3_WSTRB;
579  bit [0 : 0] IRQ_F2P;
584  bit [1 : 0] DMA0_DATYPE;
587  bit [1 : 0] DMA1_DATYPE;
590  bit [1 : 0] DMA2_DATYPE;
593  bit [1 : 0] DMA3_DATYPE;
612  bit [1 : 0] DMA0_DRTYPE;
613  bit [1 : 0] DMA1_DRTYPE;
614  bit [1 : 0] DMA2_DRTYPE;
615  bit [1 : 0] DMA3_DRTYPE;
628  bit [31 : 0] FTMD_TRACEIN_DATA;
631  bit [3 : 0] FTMD_TRACEIN_ATID;
640  bit [31 : 0] FTMT_F2P_DEBUG;
649  bit [31 : 0] FTMT_P2F_DEBUG;
652  bit [1 : 0] EVENT_STANDBYWFE;
653  bit [1 : 0] EVENT_STANDBYWFI;
655  bit [3 : 0] DDR_ARB;
656  bit [53 : 0] MIO;
658  bit DDR_CKE;
660  bit DDR_Clk;
661  bit DDR_CS_n;
663  bit DDR_ODT;
665  bit DDR_WEB;
666  bit [2 : 0] DDR_BankAddr;
667  bit [14 : 0] DDR_Addr;
668  bit DDR_VRN;
669  bit DDR_VRP;
670  bit [3 : 0] DDR_DM;
671  bit [31 : 0] DDR_DQ;
672  bit [3 : 0] DDR_DQS_n;
673  bit [3 : 0] DDR_DQS;
674  bit PS_SRSTB;
675  bit PS_CLK;
676  bit PS_PORB;
677 
678 //MODULE DECLARATION
683  ENET0_MDIO_O,
684  ENET0_MDIO_T,
692  ENET0_MDIO_I,
695  GPIO_I,
696  GPIO_O,
697  GPIO_T,
785  IRQ_F2P,
786  FCLK_CLK0,
787  FCLK_CLK1,
788  FCLK_CLK2,
789  FCLK_CLK3,
791  MIO,
792  DDR_CAS_n,
793  DDR_CKE,
794  DDR_Clk_n,
795  DDR_Clk,
796  DDR_CS_n,
797  DDR_DRSTB,
798  DDR_ODT,
799  DDR_RAS_n,
800  DDR_WEB,
801  DDR_BankAddr,
802  DDR_Addr,
803  DDR_VRN,
804  DDR_VRP,
805  DDR_DM,
806  DDR_DQ,
807  DDR_DQS_n,
808  DDR_DQS,
809  PS_SRSTB,
810  PS_CLK,
811  PS_PORB
812  );
813 
814 //PARAMETERS
815 
816  parameter C_EN_EMIO_PJTAG = 0;
817  parameter C_EN_EMIO_ENET0 = 1;
818  parameter C_EN_EMIO_ENET1 = 0;
819  parameter C_EN_EMIO_TRACE = 0;
820  parameter C_INCLUDE_TRACE_BUFFER = 0;
821  parameter C_TRACE_BUFFER_FIFO_SIZE = 128;
822  parameter USE_TRACE_DATA_EDGE_DETECTOR = 0;
823  parameter C_TRACE_PIPELINE_WIDTH = 8;
824  parameter C_TRACE_BUFFER_CLOCK_DELAY = 12;
825  parameter C_EMIO_GPIO_WIDTH = 8;
826  parameter C_INCLUDE_ACP_TRANS_CHECK = 0;
827  parameter C_USE_DEFAULT_ACP_USER_VAL = 0;
828  parameter C_S_AXI_ACP_ARUSER_VAL = 31;
829  parameter C_S_AXI_ACP_AWUSER_VAL = 31;
830  parameter C_M_AXI_GP0_ID_WIDTH = 12;
831  parameter C_M_AXI_GP0_ENABLE_STATIC_REMAP = 0;
832  parameter C_M_AXI_GP1_ID_WIDTH = 12;
833  parameter C_M_AXI_GP1_ENABLE_STATIC_REMAP = 0;
834  parameter C_S_AXI_GP0_ID_WIDTH = 6;
835  parameter C_S_AXI_GP1_ID_WIDTH = 6;
836  parameter C_S_AXI_ACP_ID_WIDTH = 3;
837  parameter C_S_AXI_HP0_ID_WIDTH = 6;
838  parameter C_S_AXI_HP0_DATA_WIDTH = 64;
839  parameter C_S_AXI_HP1_ID_WIDTH = 6;
840  parameter C_S_AXI_HP1_DATA_WIDTH = 64;
841  parameter C_S_AXI_HP2_ID_WIDTH = 6;
842  parameter C_S_AXI_HP2_DATA_WIDTH = 64;
843  parameter C_S_AXI_HP3_ID_WIDTH = 6;
844  parameter C_S_AXI_HP3_DATA_WIDTH = 64;
845  parameter C_M_AXI_GP0_THREAD_ID_WIDTH = 12;
846  parameter C_M_AXI_GP1_THREAD_ID_WIDTH = 12;
847  parameter C_NUM_F2P_INTR_INPUTS = 1;
848  parameter C_IRQ_F2P_MODE = "DIRECT";
849  parameter C_DQ_WIDTH = 32;
850  parameter C_DQS_WIDTH = 4;
851  parameter C_DM_WIDTH = 4;
852  parameter C_MIO_PRIMITIVE = 54;
853  parameter C_TRACE_INTERNAL_WIDTH = 2;
854  parameter C_USE_AXI_NONSECURE = 0;
855  parameter C_USE_M_AXI_GP0 = 1;
856  parameter C_USE_M_AXI_GP1 = 0;
857  parameter C_USE_S_AXI_GP0 = 0;
858  parameter C_USE_S_AXI_GP1 = 0;
859  parameter C_USE_S_AXI_HP0 = 1;
860  parameter C_USE_S_AXI_HP1 = 0;
861  parameter C_USE_S_AXI_HP2 = 0;
862  parameter C_USE_S_AXI_HP3 = 0;
863  parameter C_USE_S_AXI_ACP = 0;
864  parameter C_PS7_SI_REV = "PRODUCTION";
865  parameter C_FCLK_CLK0_BUF = "TRUE";
866  parameter C_FCLK_CLK1_BUF = "TRUE";
867  parameter C_FCLK_CLK2_BUF = "TRUE";
868  parameter C_FCLK_CLK3_BUF = "FALSE";
869  parameter C_PACKAGE_NAME = "clg400";
870  parameter C_GP0_EN_MODIFIABLE_TXN = "1";
871  parameter C_GP1_EN_MODIFIABLE_TXN = "1";
872 
873 //INPUT AND OUTPUT PORTS
874 
875  output [0 : 0] ENET0_GMII_TX_EN;
876  output [0 : 0] ENET0_GMII_TX_ER;
877  output ENET0_MDIO_MDC;
878  output ENET0_MDIO_O;
879  output ENET0_MDIO_T;
880  output [7 : 0] ENET0_GMII_TXD;
881  input ENET0_GMII_COL;
882  input ENET0_GMII_CRS;
883  input ENET0_GMII_RX_CLK;
884  input ENET0_GMII_RX_DV;
885  input ENET0_GMII_RX_ER;
886  input ENET0_GMII_TX_CLK;
887  input ENET0_MDIO_I;
888  input ENET0_EXT_INTIN;
889  input [7 : 0] ENET0_GMII_RXD;
890  input [7 : 0] GPIO_I;
891  output [7 : 0] GPIO_O;
892  output [7 : 0] GPIO_T;
893  output TTC0_WAVE0_OUT;
894  output TTC0_WAVE1_OUT;
895  output TTC0_WAVE2_OUT;
896  output M_AXI_GP0_ARVALID;
897  output M_AXI_GP0_AWVALID;
898  output M_AXI_GP0_BREADY;
899  output M_AXI_GP0_RREADY;
900  output M_AXI_GP0_WLAST;
901  output M_AXI_GP0_WVALID;
902  output [11 : 0] M_AXI_GP0_ARID;
903  output [11 : 0] M_AXI_GP0_AWID;
904  output [11 : 0] M_AXI_GP0_WID;
905  output [1 : 0] M_AXI_GP0_ARBURST;
906  output [1 : 0] M_AXI_GP0_ARLOCK;
907  output [2 : 0] M_AXI_GP0_ARSIZE;
908  output [1 : 0] M_AXI_GP0_AWBURST;
909  output [1 : 0] M_AXI_GP0_AWLOCK;
910  output [2 : 0] M_AXI_GP0_AWSIZE;
911  output [2 : 0] M_AXI_GP0_ARPROT;
912  output [2 : 0] M_AXI_GP0_AWPROT;
913  output [31 : 0] M_AXI_GP0_ARADDR;
914  output [31 : 0] M_AXI_GP0_AWADDR;
915  output [31 : 0] M_AXI_GP0_WDATA;
916  output [3 : 0] M_AXI_GP0_ARCACHE;
917  output [3 : 0] M_AXI_GP0_ARLEN;
918  output [3 : 0] M_AXI_GP0_ARQOS;
919  output [3 : 0] M_AXI_GP0_AWCACHE;
920  output [3 : 0] M_AXI_GP0_AWLEN;
921  output [3 : 0] M_AXI_GP0_AWQOS;
922  output [3 : 0] M_AXI_GP0_WSTRB;
923  input M_AXI_GP0_ACLK;
924  input M_AXI_GP0_ARREADY;
925  input M_AXI_GP0_AWREADY;
926  input M_AXI_GP0_BVALID;
927  input M_AXI_GP0_RLAST;
928  input M_AXI_GP0_RVALID;
929  input M_AXI_GP0_WREADY;
930  input [11 : 0] M_AXI_GP0_BID;
931  input [11 : 0] M_AXI_GP0_RID;
932  input [1 : 0] M_AXI_GP0_BRESP;
933  input [1 : 0] M_AXI_GP0_RRESP;
934  input [31 : 0] M_AXI_GP0_RDATA;
935  output S_AXI_HP0_ARREADY;
936  output S_AXI_HP0_AWREADY;
937  output S_AXI_HP0_BVALID;
938  output S_AXI_HP0_RLAST;
939  output S_AXI_HP0_RVALID;
940  output S_AXI_HP0_WREADY;
941  output [1 : 0] S_AXI_HP0_BRESP;
942  output [1 : 0] S_AXI_HP0_RRESP;
943  output [5 : 0] S_AXI_HP0_BID;
944  output [5 : 0] S_AXI_HP0_RID;
945  output [63 : 0] S_AXI_HP0_RDATA;
946  output [7 : 0] S_AXI_HP0_RCOUNT;
947  output [7 : 0] S_AXI_HP0_WCOUNT;
948  output [2 : 0] S_AXI_HP0_RACOUNT;
949  output [5 : 0] S_AXI_HP0_WACOUNT;
950  input S_AXI_HP0_ACLK;
951  input S_AXI_HP0_ARVALID;
952  input S_AXI_HP0_AWVALID;
953  input S_AXI_HP0_BREADY;
955  input S_AXI_HP0_RREADY;
956  input S_AXI_HP0_WLAST;
958  input S_AXI_HP0_WVALID;
959  input [1 : 0] S_AXI_HP0_ARBURST;
960  input [1 : 0] S_AXI_HP0_ARLOCK;
961  input [2 : 0] S_AXI_HP0_ARSIZE;
962  input [1 : 0] S_AXI_HP0_AWBURST;
963  input [1 : 0] S_AXI_HP0_AWLOCK;
964  input [2 : 0] S_AXI_HP0_AWSIZE;
965  input [2 : 0] S_AXI_HP0_ARPROT;
966  input [2 : 0] S_AXI_HP0_AWPROT;
967  input [31 : 0] S_AXI_HP0_ARADDR;
968  input [31 : 0] S_AXI_HP0_AWADDR;
969  input [3 : 0] S_AXI_HP0_ARCACHE;
970  input [3 : 0] S_AXI_HP0_ARLEN;
971  input [3 : 0] S_AXI_HP0_ARQOS;
972  input [3 : 0] S_AXI_HP0_AWCACHE;
973  input [3 : 0] S_AXI_HP0_AWLEN;
974  input [3 : 0] S_AXI_HP0_AWQOS;
975  input [5 : 0] S_AXI_HP0_ARID;
976  input [5 : 0] S_AXI_HP0_AWID;
977  input [5 : 0] S_AXI_HP0_WID;
978  input [63 : 0] S_AXI_HP0_WDATA;
979  input [7 : 0] S_AXI_HP0_WSTRB;
980  input [0 : 0] IRQ_F2P;
981  output FCLK_CLK0;
982  output FCLK_CLK1;
983  output FCLK_CLK2;
984  output FCLK_CLK3;
985  output FCLK_RESET0_N;
986  inout [53 : 0] MIO;
987  inout DDR_CAS_n;
988  inout DDR_CKE;
989  inout DDR_Clk_n;
990  inout DDR_Clk;
991  inout DDR_CS_n;
992  inout DDR_DRSTB;
993  inout DDR_ODT;
994  inout DDR_RAS_n;
995  inout DDR_WEB;
996  inout [2 : 0] DDR_BankAddr;
997  inout [14 : 0] DDR_Addr;
998  inout DDR_VRN;
999  inout DDR_VRP;
1000  inout [3 : 0] DDR_DM;
1001  inout [31 : 0] DDR_DQ;
1002  inout [3 : 0] DDR_DQS_n;
1003  inout [3 : 0] DDR_DQS;
1004  inout PS_SRSTB;
1005  inout PS_CLK;
1006  inout PS_PORB;
1007 
1008 //REG DECLARATIONS
1009 
1010  reg [0 : 0] ENET0_GMII_TX_EN;
1011  reg [0 : 0] ENET0_GMII_TX_ER;
1012  reg ENET0_MDIO_MDC;
1013  reg ENET0_MDIO_O;
1014  reg ENET0_MDIO_T;
1015  reg [7 : 0] ENET0_GMII_TXD;
1016  reg [7 : 0] GPIO_O;
1017  reg [7 : 0] GPIO_T;
1018  reg TTC0_WAVE0_OUT;
1019  reg TTC0_WAVE1_OUT;
1020  reg TTC0_WAVE2_OUT;
1021  reg M_AXI_GP0_ARVALID;
1022  reg M_AXI_GP0_AWVALID;
1023  reg M_AXI_GP0_BREADY;
1024  reg M_AXI_GP0_RREADY;
1025  reg M_AXI_GP0_WLAST;
1026  reg M_AXI_GP0_WVALID;
1027  reg [11 : 0] M_AXI_GP0_ARID;
1028  reg [11 : 0] M_AXI_GP0_AWID;
1029  reg [11 : 0] M_AXI_GP0_WID;
1030  reg [1 : 0] M_AXI_GP0_ARBURST;
1031  reg [1 : 0] M_AXI_GP0_ARLOCK;
1032  reg [2 : 0] M_AXI_GP0_ARSIZE;
1033  reg [1 : 0] M_AXI_GP0_AWBURST;
1034  reg [1 : 0] M_AXI_GP0_AWLOCK;
1035  reg [2 : 0] M_AXI_GP0_AWSIZE;
1036  reg [2 : 0] M_AXI_GP0_ARPROT;
1037  reg [2 : 0] M_AXI_GP0_AWPROT;
1038  reg [31 : 0] M_AXI_GP0_ARADDR;
1039  reg [31 : 0] M_AXI_GP0_AWADDR;
1040  reg [31 : 0] M_AXI_GP0_WDATA;
1041  reg [3 : 0] M_AXI_GP0_ARCACHE;
1042  reg [3 : 0] M_AXI_GP0_ARLEN;
1043  reg [3 : 0] M_AXI_GP0_ARQOS;
1044  reg [3 : 0] M_AXI_GP0_AWCACHE;
1045  reg [3 : 0] M_AXI_GP0_AWLEN;
1046  reg [3 : 0] M_AXI_GP0_AWQOS;
1047  reg [3 : 0] M_AXI_GP0_WSTRB;
1048  reg S_AXI_HP0_ARREADY;
1049  reg S_AXI_HP0_AWREADY;
1050  reg S_AXI_HP0_BVALID;
1051  reg S_AXI_HP0_RLAST;
1052  reg S_AXI_HP0_RVALID;
1053  reg S_AXI_HP0_WREADY;
1054  reg [1 : 0] S_AXI_HP0_BRESP;
1055  reg [1 : 0] S_AXI_HP0_RRESP;
1056  reg [5 : 0] S_AXI_HP0_BID;
1057  reg [5 : 0] S_AXI_HP0_RID;
1058  reg [63 : 0] S_AXI_HP0_RDATA;
1059  reg [7 : 0] S_AXI_HP0_RCOUNT;
1060  reg [7 : 0] S_AXI_HP0_WCOUNT;
1061  reg [2 : 0] S_AXI_HP0_RACOUNT;
1062  reg [5 : 0] S_AXI_HP0_WACOUNT;
1063  reg FCLK_CLK0;
1064  reg FCLK_CLK1;
1065  reg FCLK_CLK2;
1066  reg FCLK_CLK3;
1067  reg FCLK_RESET0_N;
1068  string ip_name;
1069  reg disable_port;
1070 
1071 //DPI DECLARATIONS
1072 import "DPI-C" function void ps7_set_ip_context(input string ip_name);
1073 import "DPI-C" function void ps7_set_str_param(input string name,input string val);
1074 import "DPI-C" function void ps7_set_int_param(input string name,input longint val);
1075 import "DPI-C" function void ps7_init_c_model();
1076 import "DPI-C" function void ps7_set_input_IRQ_F2P(input int pinIdex, input int pinValue);
1077 import "DPI-C" function void ps7_init_m_axi_gp0(input int M_AXI_GP0_AWID_size,input int M_AXI_GP0_AWADDR_size,input int M_AXI_GP0_AWLEN_size,input int M_AXI_GP0_AWSIZE_size,input int M_AXI_GP0_AWBURST_size,input int M_AXI_GP0_AWLOCK_size,input int M_AXI_GP0_AWCACHE_size,input int M_AXI_GP0_AWPROT_size,input int M_AXI_GP0_AWQOS_size,input int M_AXI_GP0_AWVALID_size,input int M_AXI_GP0_AWREADY_size,input int M_AXI_GP0_WID_size,input int M_AXI_GP0_WDATA_size,input int M_AXI_GP0_WSTRB_size,input int M_AXI_GP0_WLAST_size,input int M_AXI_GP0_WVALID_size,input int M_AXI_GP0_WREADY_size,input int M_AXI_GP0_BID_size,input int M_AXI_GP0_BRESP_size,input int M_AXI_GP0_BVALID_size,input int M_AXI_GP0_BREADY_size,input int M_AXI_GP0_ARID_size,input int M_AXI_GP0_ARADDR_size,input int M_AXI_GP0_ARLEN_size,input int M_AXI_GP0_ARSIZE_size,input int M_AXI_GP0_ARBURST_size,input int M_AXI_GP0_ARLOCK_size,input int M_AXI_GP0_ARCACHE_size,input int M_AXI_GP0_ARPROT_size,input int M_AXI_GP0_ARQOS_size,input int M_AXI_GP0_ARVALID_size,input int M_AXI_GP0_ARREADY_size,input int M_AXI_GP0_RID_size,input int M_AXI_GP0_RDATA_size,input int M_AXI_GP0_RRESP_size,input int M_AXI_GP0_RLAST_size,input int M_AXI_GP0_RVALID_size,input int M_AXI_GP0_RREADY_size);
1078 import "DPI-C" function void ps7_init_s_axi_hp0(input int S_AXI_HP0_AWID_size,input int S_AXI_HP0_AWADDR_size,input int S_AXI_HP0_AWLEN_size,input int S_AXI_HP0_AWSIZE_size,input int S_AXI_HP0_AWBURST_size,input int S_AXI_HP0_AWLOCK_size,input int S_AXI_HP0_AWCACHE_size,input int S_AXI_HP0_AWPROT_size,input int S_AXI_HP0_AWQOS_size,input int S_AXI_HP0_AWVALID_size,input int S_AXI_HP0_AWREADY_size,input int S_AXI_HP0_WID_size,input int S_AXI_HP0_WDATA_size,input int S_AXI_HP0_WSTRB_size,input int S_AXI_HP0_WLAST_size,input int S_AXI_HP0_WVALID_size,input int S_AXI_HP0_WREADY_size,input int S_AXI_HP0_BID_size,input int S_AXI_HP0_BRESP_size,input int S_AXI_HP0_BVALID_size,input int S_AXI_HP0_BREADY_size,input int S_AXI_HP0_ARID_size,input int S_AXI_HP0_ARADDR_size,input int S_AXI_HP0_ARLEN_size,input int S_AXI_HP0_ARSIZE_size,input int S_AXI_HP0_ARBURST_size,input int S_AXI_HP0_ARLOCK_size,input int S_AXI_HP0_ARCACHE_size,input int S_AXI_HP0_ARPROT_size,input int S_AXI_HP0_ARQOS_size,input int S_AXI_HP0_ARVALID_size,input int S_AXI_HP0_ARREADY_size,input int S_AXI_HP0_RID_size,input int S_AXI_HP0_RDATA_size,input int S_AXI_HP0_RRESP_size,input int S_AXI_HP0_RLAST_size,input int S_AXI_HP0_RVALID_size,input int S_AXI_HP0_RREADY_size);
1079 import "DPI-C" function void ps7_simulate_single_cycle_FCLK_CLK0();
1080 import "DPI-C" function void ps7_simulate_single_cycle_FCLK_CLK1();
1081 import "DPI-C" function void ps7_simulate_single_cycle_FCLK_CLK2();
1082 import "DPI-C" function void ps7_simulate_single_cycle_FCLK_CLK3();
1083 import "DPI-C" function void ps7_simulate_single_cycle_M_AXI_GP0_ACLK();
1084 import "DPI-C" function void ps7_set_inputs_m_axi_gp0_M_AXI_GP0_ACLK(
1085 input bit M_AXI_GP0_AWREADY,
1086 input bit M_AXI_GP0_WREADY,
1087 input bit [11 : 0] M_AXI_GP0_BID,
1088 input bit [1 : 0] M_AXI_GP0_BRESP,
1089 input bit M_AXI_GP0_BVALID,
1090 input bit M_AXI_GP0_ARREADY,
1091 input bit [11 : 0] M_AXI_GP0_RID,
1092 input bit [31 : 0] M_AXI_GP0_RDATA,
1093 input bit [1 : 0] M_AXI_GP0_RRESP,
1094 input bit M_AXI_GP0_RLAST,
1095 input bit M_AXI_GP0_RVALID
1096 );
1097 import "DPI-C" function void ps7_get_outputs_m_axi_gp0_M_AXI_GP0_ACLK(
1098 output bit [11 : 0] M_AXI_GP0_AWID,
1099 output bit [31 : 0] M_AXI_GP0_AWADDR,
1100 output bit [3 : 0] M_AXI_GP0_AWLEN,
1101 output bit [2 : 0] M_AXI_GP0_AWSIZE,
1102 output bit [1 : 0] M_AXI_GP0_AWBURST,
1103 output bit [1 : 0] M_AXI_GP0_AWLOCK,
1104 output bit [3 : 0] M_AXI_GP0_AWCACHE,
1105 output bit [2 : 0] M_AXI_GP0_AWPROT,
1106 output bit [3 : 0] M_AXI_GP0_AWQOS,
1107 output bit M_AXI_GP0_AWVALID,
1108 output bit [11 : 0] M_AXI_GP0_WID,
1109 output bit [31 : 0] M_AXI_GP0_WDATA,
1110 output bit [3 : 0] M_AXI_GP0_WSTRB,
1111 output bit M_AXI_GP0_WLAST,
1112 output bit M_AXI_GP0_WVALID,
1113 output bit M_AXI_GP0_BREADY,
1114 output bit [11 : 0] M_AXI_GP0_ARID,
1115 output bit [31 : 0] M_AXI_GP0_ARADDR,
1116 output bit [3 : 0] M_AXI_GP0_ARLEN,
1117 output bit [2 : 0] M_AXI_GP0_ARSIZE,
1118 output bit [1 : 0] M_AXI_GP0_ARBURST,
1119 output bit [1 : 0] M_AXI_GP0_ARLOCK,
1120 output bit [3 : 0] M_AXI_GP0_ARCACHE,
1121 output bit [2 : 0] M_AXI_GP0_ARPROT,
1122 output bit [3 : 0] M_AXI_GP0_ARQOS,
1123 output bit M_AXI_GP0_ARVALID,
1124 output bit M_AXI_GP0_RREADY
1125 );
1126 
1127 import "DPI-C" function void ps7_simulate_single_cycle_S_AXI_HP0_ACLK();
1128 import "DPI-C" function void ps7_set_inputs_s_axi_hp0_S_AXI_HP0_ACLK(
1129 input bit [5 : 0] S_AXI_HP0_AWID,
1130 input bit [31 : 0] S_AXI_HP0_AWADDR,
1131 input bit [3 : 0] S_AXI_HP0_AWLEN,
1132 input bit [2 : 0] S_AXI_HP0_AWSIZE,
1133 input bit [1 : 0] S_AXI_HP0_AWBURST,
1134 input bit [1 : 0] S_AXI_HP0_AWLOCK,
1135 input bit [3 : 0] S_AXI_HP0_AWCACHE,
1136 input bit [2 : 0] S_AXI_HP0_AWPROT,
1137 input bit [3 : 0] S_AXI_HP0_AWQOS,
1138 input bit S_AXI_HP0_AWVALID,
1139 input bit [5 : 0] S_AXI_HP0_WID,
1140 input bit [63 : 0] S_AXI_HP0_WDATA,
1141 input bit [7 : 0] S_AXI_HP0_WSTRB,
1142 input bit S_AXI_HP0_WLAST,
1143 input bit S_AXI_HP0_WVALID,
1144 input bit S_AXI_HP0_BREADY,
1145 input bit [5 : 0] S_AXI_HP0_ARID,
1146 input bit [31 : 0] S_AXI_HP0_ARADDR,
1147 input bit [3 : 0] S_AXI_HP0_ARLEN,
1148 input bit [2 : 0] S_AXI_HP0_ARSIZE,
1149 input bit [1 : 0] S_AXI_HP0_ARBURST,
1150 input bit [1 : 0] S_AXI_HP0_ARLOCK,
1151 input bit [3 : 0] S_AXI_HP0_ARCACHE,
1152 input bit [2 : 0] S_AXI_HP0_ARPROT,
1153 input bit [3 : 0] S_AXI_HP0_ARQOS,
1154 input bit S_AXI_HP0_ARVALID,
1155 input bit S_AXI_HP0_RREADY
1156 );
1157 import "DPI-C" function void ps7_get_outputs_s_axi_hp0_S_AXI_HP0_ACLK(
1158 output bit S_AXI_HP0_AWREADY,
1159 output bit S_AXI_HP0_WREADY,
1160 output bit [5 : 0] S_AXI_HP0_BID,
1161 output bit [1 : 0] S_AXI_HP0_BRESP,
1162 output bit S_AXI_HP0_BVALID,
1163 output bit S_AXI_HP0_ARREADY,
1164 output bit [5 : 0] S_AXI_HP0_RID,
1165 output bit [63 : 0] S_AXI_HP0_RDATA,
1166 output bit [1 : 0] S_AXI_HP0_RRESP,
1167 output bit S_AXI_HP0_RLAST,
1168 output bit S_AXI_HP0_RVALID
1169 );
1170 
1171  export "DPI-C" function ps7_stop_sim;
1172  function void ps7_stop_sim();
1173  $display("End of simulation");
1174  $finish(0);
1175  endfunction
1176  export "DPI-C" function ps7_get_time;
1177  function real ps7_get_time();
1178  ps7_get_time = $time;
1179  endfunction
1180 
1181  export "DPI-C" function ps7_set_output_pins_FCLK_RESET0_N;
1182  function void ps7_set_output_pins_FCLK_RESET0_N(int value);
1183  FCLK_RESET0_N = value;
1184  endfunction
1185 
1186  export "DPI-C" function ps7_set_output_pins_FCLK_RESET1_N;
1187  function void ps7_set_output_pins_FCLK_RESET1_N(int value);
1188  FCLK_RESET1_N = value;
1189  endfunction
1190 
1191  export "DPI-C" function ps7_set_output_pins_FCLK_RESET2_N;
1192  function void ps7_set_output_pins_FCLK_RESET2_N(int value);
1193  FCLK_RESET2_N = value;
1194  endfunction
1195 
1196  export "DPI-C" function ps7_set_output_pins_FCLK_RESET3_N;
1197  function void ps7_set_output_pins_FCLK_RESET3_N(int value);
1198  FCLK_RESET3_N = value;
1199  endfunction
1200 
1201 
1202 //INITIAL BLOCK
1203 
1204  initial
1205  begin
1206  $sformat(ip_name,"%m");
1207  ps7_set_ip_context(ip_name);
1208  ps7_set_int_param ( "C_EN_EMIO_PJTAG",C_EN_EMIO_PJTAG );
1209  ps7_set_int_param ( "C_EN_EMIO_ENET0",C_EN_EMIO_ENET0 );
1210  ps7_set_int_param ( "C_EN_EMIO_ENET1",C_EN_EMIO_ENET1 );
1211  ps7_set_int_param ( "C_EN_EMIO_TRACE",C_EN_EMIO_TRACE );
1212  ps7_set_int_param ( "C_INCLUDE_TRACE_BUFFER",C_INCLUDE_TRACE_BUFFER );
1213  ps7_set_int_param ( "C_TRACE_BUFFER_FIFO_SIZE",C_TRACE_BUFFER_FIFO_SIZE );
1214  ps7_set_int_param ( "USE_TRACE_DATA_EDGE_DETECTOR",USE_TRACE_DATA_EDGE_DETECTOR );
1215  ps7_set_int_param ( "C_TRACE_PIPELINE_WIDTH",C_TRACE_PIPELINE_WIDTH );
1216  ps7_set_int_param ( "C_TRACE_BUFFER_CLOCK_DELAY",C_TRACE_BUFFER_CLOCK_DELAY );
1217  ps7_set_int_param ( "C_EMIO_GPIO_WIDTH",C_EMIO_GPIO_WIDTH );
1218  ps7_set_int_param ( "C_INCLUDE_ACP_TRANS_CHECK",C_INCLUDE_ACP_TRANS_CHECK );
1219  ps7_set_int_param ( "C_USE_DEFAULT_ACP_USER_VAL",C_USE_DEFAULT_ACP_USER_VAL );
1220  ps7_set_int_param ( "C_S_AXI_ACP_ARUSER_VAL",C_S_AXI_ACP_ARUSER_VAL );
1221  ps7_set_int_param ( "C_S_AXI_ACP_AWUSER_VAL",C_S_AXI_ACP_AWUSER_VAL );
1222  ps7_set_int_param ( "C_M_AXI_GP0_ID_WIDTH",C_M_AXI_GP0_ID_WIDTH );
1223  ps7_set_int_param ( "C_M_AXI_GP0_ENABLE_STATIC_REMAP",C_M_AXI_GP0_ENABLE_STATIC_REMAP );
1224  ps7_set_int_param ( "C_M_AXI_GP1_ID_WIDTH",C_M_AXI_GP1_ID_WIDTH );
1225  ps7_set_int_param ( "C_M_AXI_GP1_ENABLE_STATIC_REMAP",C_M_AXI_GP1_ENABLE_STATIC_REMAP );
1226  ps7_set_int_param ( "C_S_AXI_GP0_ID_WIDTH",C_S_AXI_GP0_ID_WIDTH );
1227  ps7_set_int_param ( "C_S_AXI_GP1_ID_WIDTH",C_S_AXI_GP1_ID_WIDTH );
1228  ps7_set_int_param ( "C_S_AXI_ACP_ID_WIDTH",C_S_AXI_ACP_ID_WIDTH );
1229  ps7_set_int_param ( "C_S_AXI_HP0_ID_WIDTH",C_S_AXI_HP0_ID_WIDTH );
1230  ps7_set_int_param ( "C_S_AXI_HP0_DATA_WIDTH",C_S_AXI_HP0_DATA_WIDTH );
1231  ps7_set_int_param ( "C_S_AXI_HP1_ID_WIDTH",C_S_AXI_HP1_ID_WIDTH );
1232  ps7_set_int_param ( "C_S_AXI_HP1_DATA_WIDTH",C_S_AXI_HP1_DATA_WIDTH );
1233  ps7_set_int_param ( "C_S_AXI_HP2_ID_WIDTH",C_S_AXI_HP2_ID_WIDTH );
1234  ps7_set_int_param ( "C_S_AXI_HP2_DATA_WIDTH",C_S_AXI_HP2_DATA_WIDTH );
1235  ps7_set_int_param ( "C_S_AXI_HP3_ID_WIDTH",C_S_AXI_HP3_ID_WIDTH );
1236  ps7_set_int_param ( "C_S_AXI_HP3_DATA_WIDTH",C_S_AXI_HP3_DATA_WIDTH );
1237  ps7_set_int_param ( "C_M_AXI_GP0_THREAD_ID_WIDTH",C_M_AXI_GP0_THREAD_ID_WIDTH );
1238  ps7_set_int_param ( "C_M_AXI_GP1_THREAD_ID_WIDTH",C_M_AXI_GP1_THREAD_ID_WIDTH );
1239  ps7_set_int_param ( "C_NUM_F2P_INTR_INPUTS",C_NUM_F2P_INTR_INPUTS );
1240  ps7_set_str_param ( "C_IRQ_F2P_MODE",C_IRQ_F2P_MODE );
1241  ps7_set_int_param ( "C_DQ_WIDTH",C_DQ_WIDTH );
1242  ps7_set_int_param ( "C_DQS_WIDTH",C_DQS_WIDTH );
1243  ps7_set_int_param ( "C_DM_WIDTH",C_DM_WIDTH );
1244  ps7_set_int_param ( "C_MIO_PRIMITIVE",C_MIO_PRIMITIVE );
1245  ps7_set_int_param ( "C_TRACE_INTERNAL_WIDTH",C_TRACE_INTERNAL_WIDTH );
1246  ps7_set_int_param ( "C_USE_AXI_NONSECURE",C_USE_AXI_NONSECURE );
1247  ps7_set_int_param ( "C_USE_M_AXI_GP0",C_USE_M_AXI_GP0 );
1248  ps7_set_int_param ( "C_USE_M_AXI_GP1",C_USE_M_AXI_GP1 );
1249  ps7_set_int_param ( "C_USE_S_AXI_GP0",C_USE_S_AXI_GP0 );
1250  ps7_set_int_param ( "C_USE_S_AXI_GP1",C_USE_S_AXI_GP1 );
1251  ps7_set_int_param ( "C_USE_S_AXI_HP0",C_USE_S_AXI_HP0 );
1252  ps7_set_int_param ( "C_USE_S_AXI_HP1",C_USE_S_AXI_HP1 );
1253  ps7_set_int_param ( "C_USE_S_AXI_HP2",C_USE_S_AXI_HP2 );
1254  ps7_set_int_param ( "C_USE_S_AXI_HP3",C_USE_S_AXI_HP3 );
1255  ps7_set_int_param ( "C_USE_S_AXI_ACP",C_USE_S_AXI_ACP );
1256  ps7_set_str_param ( "C_PS7_SI_REV",C_PS7_SI_REV );
1257  ps7_set_str_param ( "C_FCLK_CLK0_BUF",C_FCLK_CLK0_BUF );
1258  ps7_set_str_param ( "C_FCLK_CLK1_BUF",C_FCLK_CLK1_BUF );
1259  ps7_set_str_param ( "C_FCLK_CLK2_BUF",C_FCLK_CLK2_BUF );
1260  ps7_set_str_param ( "C_FCLK_CLK3_BUF",C_FCLK_CLK3_BUF );
1261  ps7_set_str_param ( "C_PACKAGE_NAME",C_PACKAGE_NAME );
1262  ps7_set_str_param ( "C_GP0_EN_MODIFIABLE_TXN",C_GP0_EN_MODIFIABLE_TXN );
1263  ps7_set_str_param ( "C_GP1_EN_MODIFIABLE_TXN",C_GP1_EN_MODIFIABLE_TXN );
1264 
1266 
1268  ps7_init_c_model();
1269  end
1270  initial
1271  begin
1272  FCLK_CLK0 = 1'b0;
1273  end
1274 
1275  always #(4.0) FCLK_CLK0 <= ~FCLK_CLK0;
1276 
1277  always@(posedge FCLK_CLK0)
1278  begin
1279  ps7_set_ip_context(ip_name);
1280  ps7_simulate_single_cycle_FCLK_CLK0();
1281  end
1282 
1283  initial
1284  begin
1285  FCLK_CLK1 = 1'b0;
1286  end
1287 
1288  always #(20.0) FCLK_CLK1 <= ~FCLK_CLK1;
1289 
1290  always@(posedge FCLK_CLK1)
1291  begin
1292  ps7_set_ip_context(ip_name);
1293  ps7_simulate_single_cycle_FCLK_CLK1();
1294  end
1295 
1296  initial
1297  begin
1298  FCLK_CLK2 = 1'b0;
1299  end
1300 
1301  always #(15.015015015015017) FCLK_CLK2 <= ~FCLK_CLK2;
1302 
1303  always@(posedge FCLK_CLK2)
1304  begin
1305  ps7_set_ip_context(ip_name);
1306  ps7_simulate_single_cycle_FCLK_CLK2();
1307  end
1308 
1309  initial
1310  begin
1311  FCLK_CLK3 = 1'b0;
1312  end
1313 
1314  always #(5.0) FCLK_CLK3 <= ~FCLK_CLK3;
1315 
1316  always@(posedge FCLK_CLK3)
1317  begin
1318  ps7_set_ip_context(ip_name);
1319  ps7_simulate_single_cycle_FCLK_CLK3();
1320  end
1321 
1322 always@(posedge IRQ_F2P[0])
1323 begin
1324  ps7_set_input_IRQ_F2P(0,1);
1325 end
1326 always@(negedge IRQ_F2P[0])
1327 begin
1328  ps7_set_input_IRQ_F2P(0,0);
1329 end
1330 
1331 always@(posedge M_AXI_GP0_ACLK)
1332  begin
1333 
1334  ps7_set_ip_context(ip_name);
1335 
1336  ps7_set_inputs_m_axi_gp0_M_AXI_GP0_ACLK(
1337  M_AXI_GP0_AWREADY,
1338  M_AXI_GP0_WREADY,
1339  M_AXI_GP0_BID,
1340  M_AXI_GP0_BRESP,
1341  M_AXI_GP0_BVALID,
1342  M_AXI_GP0_ARREADY,
1343  M_AXI_GP0_RID,
1344  M_AXI_GP0_RDATA,
1345  M_AXI_GP0_RRESP,
1346  M_AXI_GP0_RLAST,
1347  M_AXI_GP0_RVALID
1348  );
1349 
1350  ps7_simulate_single_cycle_M_AXI_GP0_ACLK();
1351 
1352  ps7_get_outputs_m_axi_gp0_M_AXI_GP0_ACLK(
1353  M_AXI_GP0_AWID,
1354  M_AXI_GP0_AWADDR,
1355  M_AXI_GP0_AWLEN,
1356  M_AXI_GP0_AWSIZE,
1357  M_AXI_GP0_AWBURST,
1358  M_AXI_GP0_AWLOCK,
1359  M_AXI_GP0_AWCACHE,
1360  M_AXI_GP0_AWPROT,
1361  M_AXI_GP0_AWQOS,
1362  M_AXI_GP0_AWVALID,
1363  M_AXI_GP0_WID,
1364  M_AXI_GP0_WDATA,
1365  M_AXI_GP0_WSTRB,
1366  M_AXI_GP0_WLAST,
1367  M_AXI_GP0_WVALID,
1368  M_AXI_GP0_BREADY,
1369  M_AXI_GP0_ARID,
1370  M_AXI_GP0_ARADDR,
1371  M_AXI_GP0_ARLEN,
1372  M_AXI_GP0_ARSIZE,
1373  M_AXI_GP0_ARBURST,
1374  M_AXI_GP0_ARLOCK,
1375  M_AXI_GP0_ARCACHE,
1376  M_AXI_GP0_ARPROT,
1377  M_AXI_GP0_ARQOS,
1378  M_AXI_GP0_ARVALID,
1379  M_AXI_GP0_RREADY
1380  );
1381  end
1382 
1383 
1384 always@(posedge S_AXI_HP0_ACLK)
1385  begin
1386 
1387  ps7_set_ip_context(ip_name);
1388 
1389  ps7_set_inputs_s_axi_hp0_S_AXI_HP0_ACLK(
1390  S_AXI_HP0_AWID,
1391  S_AXI_HP0_AWADDR,
1392  S_AXI_HP0_AWLEN,
1393  S_AXI_HP0_AWSIZE,
1394  S_AXI_HP0_AWBURST,
1395  S_AXI_HP0_AWLOCK,
1396  S_AXI_HP0_AWCACHE,
1397  S_AXI_HP0_AWPROT,
1398  S_AXI_HP0_AWQOS,
1399  S_AXI_HP0_AWVALID,
1400  S_AXI_HP0_WID,
1401  S_AXI_HP0_WDATA,
1402  S_AXI_HP0_WSTRB,
1403  S_AXI_HP0_WLAST,
1404  S_AXI_HP0_WVALID,
1405  S_AXI_HP0_BREADY,
1406  S_AXI_HP0_ARID,
1407  S_AXI_HP0_ARADDR,
1408  S_AXI_HP0_ARLEN,
1409  S_AXI_HP0_ARSIZE,
1410  S_AXI_HP0_ARBURST,
1411  S_AXI_HP0_ARLOCK,
1412  S_AXI_HP0_ARCACHE,
1413  S_AXI_HP0_ARPROT,
1414  S_AXI_HP0_ARQOS,
1415  S_AXI_HP0_ARVALID,
1416  S_AXI_HP0_RREADY
1417  );
1418 
1419  ps7_simulate_single_cycle_S_AXI_HP0_ACLK();
1420 
1421  ps7_get_outputs_s_axi_hp0_S_AXI_HP0_ACLK(
1422  S_AXI_HP0_AWREADY,
1423  S_AXI_HP0_WREADY,
1424  S_AXI_HP0_BID,
1425  S_AXI_HP0_BRESP,
1426  S_AXI_HP0_BVALID,
1427  S_AXI_HP0_ARREADY,
1428  S_AXI_HP0_RID,
1429  S_AXI_HP0_RDATA,
1430  S_AXI_HP0_RRESP,
1431  S_AXI_HP0_RLAST,
1432  S_AXI_HP0_RVALID
1433  );
1434  end
1435 
1436 endmodule
1437 
S_AXI_HP3_ARLEN
bit< 3 :0 > S_AXI_HP3_ARLEN
Definition: design_1_processing_system7_0_0.sv:540
M_AXI_GP0_ARID
bit< 11 :0 > M_AXI_GP0_ARID
Definition: design_1_processing_system7_0_0.sv:179
IRQ_P2F_DMAC7
bit IRQ_P2F_DMAC7
Definition: design_1_processing_system7_0_0.sv:558
ENET1_PTP_PDELAY_REQ_TX
bit ENET1_PTP_PDELAY_REQ_TX
Definition: design_1_processing_system7_0_0.sv:42
SDIO1_CMD_T
bit SDIO1_CMD_T
Definition: design_1_processing_system7_0_0.sv:95
DDR_DQS_n
bit< 3 :0 > DDR_DQS_n
Definition: design_1_processing_system7_0_0.sv:672
IRQ_P2F_ENET_WAKE0
bit IRQ_P2F_ENET_WAKE0
Definition: design_1_processing_system7_0_0.sv:565
M_AXI_GP0_RVALID
bit M_AXI_GP0_RVALID
Definition: design_1_processing_system7_0_0.sv:205
ENET0_SOF_RX
bit ENET0_SOF_RX
Definition: design_1_processing_system7_0_0.sv:22
M_AXI_GP0_WSTRB
bit< 3 :0 > M_AXI_GP0_WSTRB
Definition: design_1_processing_system7_0_0.sv:199
S_AXI_GP0_ARID
bit< 5 :0 > S_AXI_GP0_ARID
Definition: design_1_processing_system7_0_0.sv:287
S_AXI_HP0_AWLEN
bit< 3 :0 > S_AXI_HP0_AWLEN
Definition: design_1_processing_system7_0_0.sv:408
S_AXI_HP1_BID
bit< 5 :0 > S_AXI_HP1_BID
Definition: design_1_processing_system7_0_0.sv:423
S_AXI_HP1_ARCACHE
bit< 3 :0 > S_AXI_HP1_ARCACHE
Definition: design_1_processing_system7_0_0.sv:449
FTMT_F2P_TRIGACK_3
bit FTMT_F2P_TRIGACK_3
Definition: design_1_processing_system7_0_0.sv:639
IRQ_P2F_DMAC1
bit IRQ_P2F_DMAC1
Definition: design_1_processing_system7_0_0.sv:552
SPI1_SS_I
bit SPI1_SS_I
Definition: design_1_processing_system7_0_0.sv:127
S_AXI_GP0_AWLOCK
bit< 1 :0 > S_AXI_GP0_AWLOCK
Definition: design_1_processing_system7_0_0.sv:273
FTMT_F2P_TRIG_1
bit FTMT_F2P_TRIG_1
Definition: design_1_processing_system7_0_0.sv:634
S_AXI_HP0_ARLEN
bit< 3 :0 > S_AXI_HP0_ARLEN
Definition: design_1_processing_system7_0_0.sv:405
TRACE_CLK
bit TRACE_CLK
Definition: design_1_processing_system7_0_0.sv:162
S_AXI_ACP_ARLEN
bit< 3 :0 > S_AXI_ACP_ARLEN
Definition: design_1_processing_system7_0_0.sv:355
UART0_RX
bit UART0_RX
Definition: design_1_processing_system7_0_0.sv:139
S_AXI_HP0_ARPROT
bit< 2 :0 > S_AXI_HP0_ARPROT
Definition: design_1_processing_system7_0_0.sv:400
UART0_RTSN
bit UART0_RTSN
Definition: design_1_processing_system7_0_0.sv:133
S_AXI_GP1_AWSIZE
bit< 2 :0 > S_AXI_GP1_AWSIZE
Definition: design_1_processing_system7_0_0.sv:313
S_AXI_GP1_ARVALID
bit S_AXI_GP1_ARVALID
Definition: design_1_processing_system7_0_0.sv:302
S_AXI_HP0_BID
bit< 5 :0 > S_AXI_HP0_BID
Definition: design_1_processing_system7_0_0.sv:378
S_AXI_HP3_RDISSUECAP1_EN
bit S_AXI_HP3_RDISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:524
S_AXI_HP0_AWLOCK
bit< 1 :0 > S_AXI_HP0_AWLOCK
Definition: design_1_processing_system7_0_0.sv:398
S_AXI_HP3_AWBURST
bit< 1 :0 > S_AXI_HP3_AWBURST
Definition: design_1_processing_system7_0_0.sv:532
S_AXI_HP3_RRESP
bit< 1 :0 > S_AXI_HP3_RRESP
Definition: design_1_processing_system7_0_0.sv:512
FTMT_F2P_TRIGACK_0
bit FTMT_F2P_TRIGACK_0
Definition: design_1_processing_system7_0_0.sv:633
S_AXI_HP2_ARBURST
bit< 1 :0 > S_AXI_HP2_ARBURST
Definition: design_1_processing_system7_0_0.sv:484
DDR_VRP
bit DDR_VRP
Definition: design_1_processing_system7_0_0.sv:669
FTMT_F2P_DEBUG
bit< 31 :0 > FTMT_F2P_DEBUG
Definition: design_1_processing_system7_0_0.sv:640
S_AXI_HP2_AWVALID
bit S_AXI_HP2_AWVALID
Definition: design_1_processing_system7_0_0.sv:477
S_AXI_GP1_RVALID
bit S_AXI_GP1_RVALID
Definition: design_1_processing_system7_0_0.sv:294
S_AXI_HP1_WSTRB
bit< 7 :0 > S_AXI_HP1_WSTRB
Definition: design_1_processing_system7_0_0.sv:459
S_AXI_HP2_BVALID
bit S_AXI_HP2_BVALID
Definition: design_1_processing_system7_0_0.sv:462
S_AXI_ACP_RID
bit< 2 :0 > S_AXI_ACP_RID
Definition: design_1_processing_system7_0_0.sv:338
DMA1_DRVALID
bit DMA1_DRVALID
Definition: design_1_processing_system7_0_0.sv:603
DMA0_DATYPE
bit< 1 :0 > DMA0_DATYPE
Definition: design_1_processing_system7_0_0.sv:584
SDIO0_DATA_O
bit< 3 :0 > SDIO0_DATA_O
Definition: design_1_processing_system7_0_0.sv:84
IRQ_P2F_DMAC_ABORT
bit IRQ_P2F_DMAC_ABORT
Definition: design_1_processing_system7_0_0.sv:550
S_AXI_ACP_ARSIZE
bit< 2 :0 > S_AXI_ACP_ARSIZE
Definition: design_1_processing_system7_0_0.sv:362
S_AXI_GP1_WSTRB
bit< 3 :0 > S_AXI_GP1_WSTRB
Definition: design_1_processing_system7_0_0.sv:325
S_AXI_ACP_ARLOCK
bit< 1 :0 > S_AXI_ACP_ARLOCK
Definition: design_1_processing_system7_0_0.sv:361
S_AXI_GP1_RDATA
bit< 31 :0 > S_AXI_GP1_RDATA
Definition: design_1_processing_system7_0_0.sv:298
M_AXI_GP0_AWVALID
bit M_AXI_GP0_AWVALID
Definition: design_1_processing_system7_0_0.sv:174
DDR_RAS_n
bit DDR_RAS_n
Definition: design_1_processing_system7_0_0.sv:664
S_AXI_HP2_AWLOCK
bit< 1 :0 > S_AXI_HP2_AWLOCK
Definition: design_1_processing_system7_0_0.sv:488
CAN0_PHY_TX
bit CAN0_PHY_TX
Definition: design_1_processing_system7_0_0.sv:5
SDIO1_CDN
bit SDIO1_CDN
Definition: design_1_processing_system7_0_0.sv:100
SPI1_SCLK_I
bit SPI1_SCLK_I
Definition: design_1_processing_system7_0_0.sv:118
M_AXI_GP1_AWVALID
bit M_AXI_GP1_AWVALID
Definition: design_1_processing_system7_0_0.sv:213
FTMT_P2F_TRIG_0
bit FTMT_P2F_TRIG_0
Definition: design_1_processing_system7_0_0.sv:642
S_AXI_HP2_RRESP
bit< 1 :0 > S_AXI_HP2_RRESP
Definition: design_1_processing_system7_0_0.sv:467
S_AXI_HP0_ARVALID
bit S_AXI_HP0_ARVALID
Definition: design_1_processing_system7_0_0.sv:386
FTMT_F2P_TRIG_3
bit FTMT_F2P_TRIG_3
Definition: design_1_processing_system7_0_0.sv:638
S_AXI_ACP_AWSIZE
bit< 2 :0 > S_AXI_ACP_AWSIZE
Definition: design_1_processing_system7_0_0.sv:365
DMA2_ACLK
bit DMA2_ACLK
Definition: design_1_processing_system7_0_0.sv:604
M_AXI_GP0_AWQOS
bit< 3 :0 > M_AXI_GP0_AWQOS
Definition: design_1_processing_system7_0_0.sv:198
S_AXI_ACP_BRESP
bit< 1 :0 > S_AXI_ACP_BRESP
Definition: design_1_processing_system7_0_0.sv:335
ENET0_MDIO_T
bit ENET0_MDIO_T
Definition: design_1_processing_system7_0_0.sv:13
DMA1_ACLK
bit DMA1_ACLK
Definition: design_1_processing_system7_0_0.sv:600
ENET1_GMII_COL
bit ENET1_GMII_COL
Definition: design_1_processing_system7_0_0.sv:50
S_AXI_HP1_WRISSUECAP1_EN
bit S_AXI_HP1_WRISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:437
M_AXI_GP1_WREADY
bit M_AXI_GP1_WREADY
Definition: design_1_processing_system7_0_0.sv:245
DDR_CAS_n
bit DDR_CAS_n
Definition: design_1_processing_system7_0_0.sv:657
WDT_RST_OUT
bit WDT_RST_OUT
Definition: design_1_processing_system7_0_0.sv:161
S_AXI_HP0_WVALID
bit S_AXI_HP0_WVALID
Definition: design_1_processing_system7_0_0.sv:393
S_AXI_HP3_AWPROT
bit< 2 :0 > S_AXI_HP3_AWPROT
Definition: design_1_processing_system7_0_0.sv:536
SDIO0_CLK
bit SDIO0_CLK
Definition: design_1_processing_system7_0_0.sv:78
S_AXI_HP2_AWBURST
bit< 1 :0 > S_AXI_HP2_AWBURST
Definition: design_1_processing_system7_0_0.sv:487
S_AXI_HP0_RRESP
bit< 1 :0 > S_AXI_HP0_RRESP
Definition: design_1_processing_system7_0_0.sv:377
S_AXI_HP0_AWREADY
bit S_AXI_HP0_AWREADY
Definition: design_1_processing_system7_0_0.sv:371
S_AXI_HP1_AWBURST
bit< 1 :0 > S_AXI_HP1_AWBURST
Definition: design_1_processing_system7_0_0.sv:442
S_AXI_HP1_AWSIZE
bit< 2 :0 > S_AXI_HP1_AWSIZE
Definition: design_1_processing_system7_0_0.sv:444
FTMD_TRACEIN_VALID
bit FTMD_TRACEIN_VALID
Definition: design_1_processing_system7_0_0.sv:629
UART0_DCDN
bit UART0_DCDN
Definition: design_1_processing_system7_0_0.sv:136
S_AXI_HP2_AWSIZE
bit< 2 :0 > S_AXI_HP2_AWSIZE
Definition: design_1_processing_system7_0_0.sv:489
DDR_ODT
bit DDR_ODT
Definition: design_1_processing_system7_0_0.sv:663
M_AXI_GP1_BREADY
bit M_AXI_GP1_BREADY
Definition: design_1_processing_system7_0_0.sv:214
SPI0_SS_I
bit SPI0_SS_I
Definition: design_1_processing_system7_0_0.sv:113
M_AXI_GP1_RLAST
bit M_AXI_GP1_RLAST
Definition: design_1_processing_system7_0_0.sv:243
ENET1_PTP_DELAY_REQ_RX
bit ENET1_PTP_DELAY_REQ_RX
Definition: design_1_processing_system7_0_0.sv:39
S_AXI_GP1_AWLOCK
bit< 1 :0 > S_AXI_GP1_AWLOCK
Definition: design_1_processing_system7_0_0.sv:312
ENET0_MDIO_O
bit ENET0_MDIO_O
Definition: design_1_processing_system7_0_0.sv:12
S_AXI_HP2_WCOUNT
bit< 7 :0 > S_AXI_HP2_WCOUNT
Definition: design_1_processing_system7_0_0.sv:472
ENET1_GMII_RX_ER
bit ENET1_GMII_RX_ER
Definition: design_1_processing_system7_0_0.sv:54
UART1_RTSN
bit UART1_RTSN
Definition: design_1_processing_system7_0_0.sv:141
M_AXI_GP0_RRESP
bit< 1 :0 > M_AXI_GP0_RRESP
Definition: design_1_processing_system7_0_0.sv:210
S_AXI_ACP_AWID
bit< 2 :0 > S_AXI_ACP_AWID
Definition: design_1_processing_system7_0_0.sv:349
M_AXI_GP0_ARLOCK
bit< 1 :0 > M_AXI_GP0_ARLOCK
Definition: design_1_processing_system7_0_0.sv:183
S_AXI_ACP_AWBURST
bit< 1 :0 > S_AXI_ACP_AWBURST
Definition: design_1_processing_system7_0_0.sv:363
DMA1_DAVALID
bit DMA1_DAVALID
Definition: design_1_processing_system7_0_0.sv:588
S_AXI_HP2_AWADDR
bit< 31 :0 > S_AXI_HP2_AWADDR
Definition: design_1_processing_system7_0_0.sv:493
ENET0_GMII_TXD
bit< 7 :0 > ENET0_GMII_TXD
Definition: design_1_processing_system7_0_0.sv:24
DMA2_DRVALID
bit DMA2_DRVALID
Definition: design_1_processing_system7_0_0.sv:607
FTMT_P2F_TRIGACK_2
bit FTMT_P2F_TRIGACK_2
Definition: design_1_processing_system7_0_0.sv:645
S_AXI_ACP_ARQOS
bit< 3 :0 > S_AXI_ACP_ARQOS
Definition: design_1_processing_system7_0_0.sv:356
SPI1_MOSI_I
bit SPI1_MOSI_I
Definition: design_1_processing_system7_0_0.sv:121
S_AXI_GP1_BRESP
bit< 1 :0 > S_AXI_GP1_BRESP
Definition: design_1_processing_system7_0_0.sv:296
SDIO0_DATA_T
bit< 3 :0 > SDIO0_DATA_T
Definition: design_1_processing_system7_0_0.sv:85
CAN1_PHY_RX
bit CAN1_PHY_RX
Definition: design_1_processing_system7_0_0.sv:8
S_AXI_HP2_AWLEN
bit< 3 :0 > S_AXI_HP2_AWLEN
Definition: design_1_processing_system7_0_0.sv:498
S_AXI_HP0_WSTRB
bit< 7 :0 > S_AXI_HP0_WSTRB
Definition: design_1_processing_system7_0_0.sv:414
S_AXI_HP3_ARSIZE
bit< 2 :0 > S_AXI_HP3_ARSIZE
Definition: design_1_processing_system7_0_0.sv:531
ENET1_GMII_TX_EN
bit< 0 :0 > ENET1_GMII_TX_EN
Definition: design_1_processing_system7_0_0.sv:34
S_AXI_HP1_ARSIZE
bit< 2 :0 > S_AXI_HP1_ARSIZE
Definition: design_1_processing_system7_0_0.sv:441
ENET0_GMII_RXD
bit< 7 :0 > ENET0_GMII_RXD
Definition: design_1_processing_system7_0_0.sv:33
S_AXI_HP2_WSTRB
bit< 7 :0 > S_AXI_HP2_WSTRB
Definition: design_1_processing_system7_0_0.sv:504
UART1_DCDN
bit UART1_DCDN
Definition: design_1_processing_system7_0_0.sv:144
UART0_DSRN
bit UART0_DSRN
Definition: design_1_processing_system7_0_0.sv:137
S_AXI_HP1_AWREADY
bit S_AXI_HP1_AWREADY
Definition: design_1_processing_system7_0_0.sv:416
S_AXI_HP0_WLAST
bit S_AXI_HP0_WLAST
Definition: design_1_processing_system7_0_0.sv:391
DDR_VRN
bit DDR_VRN
Definition: design_1_processing_system7_0_0.sv:668
DMA2_DAVALID
bit DMA2_DAVALID
Definition: design_1_processing_system7_0_0.sv:591
M_AXI_GP0_AWCACHE
bit< 3 :0 > M_AXI_GP0_AWCACHE
Definition: design_1_processing_system7_0_0.sv:196
S_AXI_HP3_WSTRB
bit< 7 :0 > S_AXI_HP3_WSTRB
Definition: design_1_processing_system7_0_0.sv:549
M_AXI_GP1_AWPROT
bit< 2 :0 > M_AXI_GP1_AWPROT
Definition: design_1_processing_system7_0_0.sv:228
S_AXI_HP1_ARBURST
bit< 1 :0 > S_AXI_HP1_ARBURST
Definition: design_1_processing_system7_0_0.sv:439
S_AXI_ACP_WSTRB
bit< 7 :0 > S_AXI_ACP_WSTRB
Definition: design_1_processing_system7_0_0.sv:369
M_AXI_GP1_BID
bit< 11 :0 > M_AXI_GP1_BID
Definition: design_1_processing_system7_0_0.sv:246
S_AXI_ACP_ARID
bit< 2 :0 > S_AXI_ACP_ARID
Definition: design_1_processing_system7_0_0.sv:347
S_AXI_HP2_AWREADY
bit S_AXI_HP2_AWREADY
Definition: design_1_processing_system7_0_0.sv:461
S_AXI_GP1_WDATA
bit< 31 :0 > S_AXI_GP1_WDATA
Definition: design_1_processing_system7_0_0.sv:318
I2C0_SDA_I
bit I2C0_SDA_I
Definition: design_1_processing_system7_0_0.sv:62
TTC1_WAVE0_OUT
bit TTC1_WAVE0_OUT
Definition: design_1_processing_system7_0_0.sv:154
S_AXI_HP0_AWPROT
bit< 2 :0 > S_AXI_HP0_AWPROT
Definition: design_1_processing_system7_0_0.sv:401
S_AXI_HP3_RCOUNT
bit< 7 :0 > S_AXI_HP3_RCOUNT
Definition: design_1_processing_system7_0_0.sv:516
SPI0_MOSI_O
bit SPI0_MOSI_O
Definition: design_1_processing_system7_0_0.sv:108
S_AXI_ACP_ARVALID
bit S_AXI_ACP_ARVALID
Definition: design_1_processing_system7_0_0.sv:341
S_AXI_HP0_ARCACHE
bit< 3 :0 > S_AXI_HP0_ARCACHE
Definition: design_1_processing_system7_0_0.sv:404
S_AXI_ACP_AWUSER
bit< 4 :0 > S_AXI_ACP_AWUSER
Definition: design_1_processing_system7_0_0.sv:367
S_AXI_ACP_RVALID
bit S_AXI_ACP_RVALID
Definition: design_1_processing_system7_0_0.sv:333
SPI1_MOSI_T
bit SPI1_MOSI_T
Definition: design_1_processing_system7_0_0.sv:123
S_AXI_HP3_AWCACHE
bit< 3 :0 > S_AXI_HP3_AWCACHE
Definition: design_1_processing_system7_0_0.sv:542
S_AXI_GP0_ARSIZE
bit< 2 :0 > S_AXI_GP0_ARSIZE
Definition: design_1_processing_system7_0_0.sv:271
ENET0_EXT_INTIN
bit ENET0_EXT_INTIN
Definition: design_1_processing_system7_0_0.sv:32
PS_CLK
bit PS_CLK
Definition: design_1_processing_system7_0_0.sv:675
S_AXI_GP0_WID
bit< 5 :0 > S_AXI_GP0_WID
Definition: design_1_processing_system7_0_0.sv:289
UART0_RIN
bit UART0_RIN
Definition: design_1_processing_system7_0_0.sv:138
I2C1_SCL_O
bit I2C1_SCL_O
Definition: design_1_processing_system7_0_0.sv:72
ENET0_GMII_TX_EN
bit< 0 :0 > ENET0_GMII_TX_EN
Definition: design_1_processing_system7_0_0.sv:9
M_AXI_GP1_AWSIZE
bit< 2 :0 > M_AXI_GP1_AWSIZE
Definition: design_1_processing_system7_0_0.sv:226
DDR_DM
bit< 3 :0 > DDR_DM
Definition: design_1_processing_system7_0_0.sv:670
S_AXI_HP3_RLAST
bit S_AXI_HP3_RLAST
Definition: design_1_processing_system7_0_0.sv:508
SPI1_SS1_O
bit SPI1_SS1_O
Definition: design_1_processing_system7_0_0.sv:129
ENET1_MDIO_I
bit ENET1_MDIO_I
Definition: design_1_processing_system7_0_0.sv:56
ENET0_GMII_RX_ER
bit ENET0_GMII_RX_ER
Definition: design_1_processing_system7_0_0.sv:29
S_AXI_HP0_RACOUNT
bit< 2 :0 > S_AXI_HP0_RACOUNT
Definition: design_1_processing_system7_0_0.sv:383
S_AXI_HP1_ARLEN
bit< 3 :0 > S_AXI_HP1_ARLEN
Definition: design_1_processing_system7_0_0.sv:450
S_AXI_HP0_RLAST
bit S_AXI_HP0_RLAST
Definition: design_1_processing_system7_0_0.sv:373
IRQ_P2F_UART1
bit IRQ_P2F_UART1
Definition: design_1_processing_system7_0_0.sv:577
ENET0_PTP_DELAY_REQ_RX
bit ENET0_PTP_DELAY_REQ_RX
Definition: design_1_processing_system7_0_0.sv:14
M_AXI_GP1_ARID
bit< 11 :0 > M_AXI_GP1_ARID
Definition: design_1_processing_system7_0_0.sv:218
M_AXI_GP1_BVALID
bit M_AXI_GP1_BVALID
Definition: design_1_processing_system7_0_0.sv:242
S_AXI_HP3_WACOUNT
bit< 5 :0 > S_AXI_HP3_WACOUNT
Definition: design_1_processing_system7_0_0.sv:519
S_AXI_GP1_ARBURST
bit< 1 :0 > S_AXI_GP1_ARBURST
Definition: design_1_processing_system7_0_0.sv:308
S_AXI_HP3_ARLOCK
bit< 1 :0 > S_AXI_HP3_ARLOCK
Definition: design_1_processing_system7_0_0.sv:530
IRQ_P2F_DMAC6
bit IRQ_P2F_DMAC6
Definition: design_1_processing_system7_0_0.sv:557
S_AXI_HP0_ARSIZE
bit< 2 :0 > S_AXI_HP0_ARSIZE
Definition: design_1_processing_system7_0_0.sv:396
DMA2_DRREADY
bit DMA2_DRREADY
Definition: design_1_processing_system7_0_0.sv:592
S_AXI_GP0_ACLK
bit S_AXI_GP0_ACLK
Definition: design_1_processing_system7_0_0.sv:262
TTC0_CLK0_IN
bit TTC0_CLK0_IN
Definition: design_1_processing_system7_0_0.sv:151
S_AXI_HP1_AWLOCK
bit< 1 :0 > S_AXI_HP1_AWLOCK
Definition: design_1_processing_system7_0_0.sv:443
ENET0_MDIO_MDC
bit ENET0_MDIO_MDC
Definition: design_1_processing_system7_0_0.sv:11
SPI1_MISO_T
bit SPI1_MISO_T
Definition: design_1_processing_system7_0_0.sv:126
S_AXI_HP0_WACOUNT
bit< 5 :0 > S_AXI_HP0_WACOUNT
Definition: design_1_processing_system7_0_0.sv:384
S_AXI_HP1_AWCACHE
bit< 3 :0 > S_AXI_HP1_AWCACHE
Definition: design_1_processing_system7_0_0.sv:452
FTMT_P2F_TRIG_3
bit FTMT_P2F_TRIG_3
Definition: design_1_processing_system7_0_0.sv:648
FCLK_RESET1_N
bit FCLK_RESET1_N
Definition: design_1_processing_system7_0_0.sv:625
S_AXI_GP1_AWLEN
bit< 3 :0 > S_AXI_GP1_AWLEN
Definition: design_1_processing_system7_0_0.sv:323
S_AXI_HP1_WLAST
bit S_AXI_HP1_WLAST
Definition: design_1_processing_system7_0_0.sv:436
FTMT_P2F_TRIGACK_3
bit FTMT_P2F_TRIGACK_3
Definition: design_1_processing_system7_0_0.sv:647
M_AXI_GP0_AWLOCK
bit< 1 :0 > M_AXI_GP0_AWLOCK
Definition: design_1_processing_system7_0_0.sv:186
S_AXI_HP3_RID
bit< 5 :0 > S_AXI_HP3_RID
Definition: design_1_processing_system7_0_0.sv:514
DMA1_DRTYPE
bit< 1 :0 > DMA1_DRTYPE
Definition: design_1_processing_system7_0_0.sv:613
ENET0_SOF_TX
bit ENET0_SOF_TX
Definition: design_1_processing_system7_0_0.sv:23
S_AXI_HP3_ARBURST
bit< 1 :0 > S_AXI_HP3_ARBURST
Definition: design_1_processing_system7_0_0.sv:529
S_AXI_HP1_AWPROT
bit< 2 :0 > S_AXI_HP1_AWPROT
Definition: design_1_processing_system7_0_0.sv:446
EVENT_STANDBYWFE
bit< 1 :0 > EVENT_STANDBYWFE
Definition: design_1_processing_system7_0_0.sv:652
SDIO1_CMD_I
bit SDIO1_CMD_I
Definition: design_1_processing_system7_0_0.sv:94
PJTAG_TCK
bit PJTAG_TCK
Definition: design_1_processing_system7_0_0.sv:74
S_AXI_GP1_AWQOS
bit< 3 :0 > S_AXI_GP1_AWQOS
Definition: design_1_processing_system7_0_0.sv:324
PJTAG_TDI
bit PJTAG_TDI
Definition: design_1_processing_system7_0_0.sv:76
S_AXI_HP0_ARADDR
bit< 31 :0 > S_AXI_HP0_ARADDR
Definition: design_1_processing_system7_0_0.sv:402
ENET0_PTP_PDELAY_REQ_TX
bit ENET0_PTP_PDELAY_REQ_TX
Definition: design_1_processing_system7_0_0.sv:17
IRQ_P2F_GPIO
bit IRQ_P2F_GPIO
Definition: design_1_processing_system7_0_0.sv:562
S_AXI_HP0_AWSIZE
bit< 2 :0 > S_AXI_HP0_AWSIZE
Definition: design_1_processing_system7_0_0.sv:399
FCLK_CLK1
bit FCLK_CLK1
Definition: design_1_processing_system7_0_0.sv:617
ENET1_GMII_RXD
bit< 7 :0 > ENET1_GMII_RXD
Definition: design_1_processing_system7_0_0.sv:58
S_AXI_ACP_BREADY
bit S_AXI_ACP_BREADY
Definition: design_1_processing_system7_0_0.sv:343
S_AXI_HP1_ARADDR
bit< 31 :0 > S_AXI_HP1_ARADDR
Definition: design_1_processing_system7_0_0.sv:447
EVENT_EVENTI
bit EVENT_EVENTI
Definition: design_1_processing_system7_0_0.sv:654
DMA3_DRTYPE
bit< 1 :0 > DMA3_DRTYPE
Definition: design_1_processing_system7_0_0.sv:615
S_AXI_ACP_WREADY
bit S_AXI_ACP_WREADY
Definition: design_1_processing_system7_0_0.sv:334
S_AXI_HP1_ACLK
bit S_AXI_HP1_ACLK
Definition: design_1_processing_system7_0_0.sv:430
SPI0_SCLK_I
bit SPI0_SCLK_I
Definition: design_1_processing_system7_0_0.sv:104
SPI1_SS_T
bit SPI1_SS_T
Definition: design_1_processing_system7_0_0.sv:131
S_AXI_GP0_RID
bit< 5 :0 > S_AXI_GP0_RID
Definition: design_1_processing_system7_0_0.sv:261
I2C1_SCL_I
bit I2C1_SCL_I
Definition: design_1_processing_system7_0_0.sv:71
S_AXI_HP3_RREADY
bit S_AXI_HP3_RREADY
Definition: design_1_processing_system7_0_0.sv:525
IRQ_P2F_ENET1
bit IRQ_P2F_ENET1
Definition: design_1_processing_system7_0_0.sv:572
M_AXI_GP0_AWLEN
bit< 3 :0 > M_AXI_GP0_AWLEN
Definition: design_1_processing_system7_0_0.sv:197
M_AXI_GP1_ARVALID
bit M_AXI_GP1_ARVALID
Definition: design_1_processing_system7_0_0.sv:212
S_AXI_GP1_ARLEN
bit< 3 :0 > S_AXI_GP1_ARLEN
Definition: design_1_processing_system7_0_0.sv:320
DMA0_DRREADY
bit DMA0_DRREADY
Definition: design_1_processing_system7_0_0.sv:586
SDIO0_WP
bit SDIO0_WP
Definition: design_1_processing_system7_0_0.sv:88
DMA2_DRTYPE
bit< 1 :0 > DMA2_DRTYPE
Definition: design_1_processing_system7_0_0.sv:614
IRQ_P2F_USB1
bit IRQ_P2F_USB1
Definition: design_1_processing_system7_0_0.sv:571
S_AXI_GP0_AWREADY
bit S_AXI_GP0_AWREADY
Definition: design_1_processing_system7_0_0.sv:252
S_AXI_ACP_ARCACHE
bit< 3 :0 > S_AXI_ACP_ARCACHE
Definition: design_1_processing_system7_0_0.sv:354
S_AXI_GP1_ARID
bit< 5 :0 > S_AXI_GP1_ARID
Definition: design_1_processing_system7_0_0.sv:326
IRQ_P2F_I2C0
bit IRQ_P2F_I2C0
Definition: design_1_processing_system7_0_0.sv:567
SPI0_MISO_O
bit SPI0_MISO_O
Definition: design_1_processing_system7_0_0.sv:111
S_AXI_GP0_WLAST
bit S_AXI_GP0_WLAST
Definition: design_1_processing_system7_0_0.sv:267
FCLK_CLKTRIG0_N
bit FCLK_CLKTRIG0_N
Definition: design_1_processing_system7_0_0.sv:620
SPI0_SS_O
bit SPI0_SS_O
Definition: design_1_processing_system7_0_0.sv:114
IRQ_P2F_SPI1
bit IRQ_P2F_SPI1
Definition: design_1_processing_system7_0_0.sv:576
S_AXI_GP0_ARBURST
bit< 1 :0 > S_AXI_GP0_ARBURST
Definition: design_1_processing_system7_0_0.sv:269
IRQ_P2F_ENET_WAKE1
bit IRQ_P2F_ENET_WAKE1
Definition: design_1_processing_system7_0_0.sv:573
FTMT_F2P_TRIG_0
bit FTMT_F2P_TRIG_0
Definition: design_1_processing_system7_0_0.sv:632
S_AXI_HP3_BVALID
bit S_AXI_HP3_BVALID
Definition: design_1_processing_system7_0_0.sv:507
ENET0_GMII_CRS
bit ENET0_GMII_CRS
Definition: design_1_processing_system7_0_0.sv:26
ENET1_MDIO_MDC
bit ENET1_MDIO_MDC
Definition: design_1_processing_system7_0_0.sv:36
ENET0_PTP_DELAY_REQ_TX
bit ENET0_PTP_DELAY_REQ_TX
Definition: design_1_processing_system7_0_0.sv:15
M_AXI_GP1_ARREADY
bit M_AXI_GP1_ARREADY
Definition: design_1_processing_system7_0_0.sv:240
S_AXI_HP3_AWSIZE
bit< 2 :0 > S_AXI_HP3_AWSIZE
Definition: design_1_processing_system7_0_0.sv:534
M_AXI_GP1_WDATA
bit< 31 :0 > M_AXI_GP1_WDATA
Definition: design_1_processing_system7_0_0.sv:231
M_AXI_GP0_RDATA
bit< 31 :0 > M_AXI_GP0_RDATA
Definition: design_1_processing_system7_0_0.sv:211
UART0_DTRN
bit UART0_DTRN
Definition: design_1_processing_system7_0_0.sv:132
ENET0_PTP_PDELAY_RESP_TX
bit ENET0_PTP_PDELAY_RESP_TX
Definition: design_1_processing_system7_0_0.sv:19
S_AXI_GP1_WREADY
bit S_AXI_GP1_WREADY
Definition: design_1_processing_system7_0_0.sv:295
SDIO1_WP
bit SDIO1_WP
Definition: design_1_processing_system7_0_0.sv:101
IRQ_P2F_QSPI
bit IRQ_P2F_QSPI
Definition: design_1_processing_system7_0_0.sv:560
S_AXI_GP1_ARREADY
bit S_AXI_GP1_ARREADY
Definition: design_1_processing_system7_0_0.sv:290
DDR_CKE
bit DDR_CKE
Definition: design_1_processing_system7_0_0.sv:658
S_AXI_HP0_AWVALID
bit S_AXI_HP0_AWVALID
Definition: design_1_processing_system7_0_0.sv:387
S_AXI_HP1_RACOUNT
bit< 2 :0 > S_AXI_HP1_RACOUNT
Definition: design_1_processing_system7_0_0.sv:428
S_AXI_HP0_ARBURST
bit< 1 :0 > S_AXI_HP0_ARBURST
Definition: design_1_processing_system7_0_0.sv:394
ENET0_PTP_SYNC_FRAME_RX
bit ENET0_PTP_SYNC_FRAME_RX
Definition: design_1_processing_system7_0_0.sv:20
S_AXI_HP0_ARLOCK
bit< 1 :0 > S_AXI_HP0_ARLOCK
Definition: design_1_processing_system7_0_0.sv:395
S_AXI_GP0_BRESP
bit< 1 :0 > S_AXI_GP0_BRESP
Definition: design_1_processing_system7_0_0.sv:257
M_AXI_GP1_RREADY
bit M_AXI_GP1_RREADY
Definition: design_1_processing_system7_0_0.sv:215
FCLK_CLK0
bit FCLK_CLK0
Definition: design_1_processing_system7_0_0.sv:616
FCLK_RESET0_N
bit FCLK_RESET0_N
Definition: design_1_processing_system7_0_0.sv:624
S_AXI_HP0_WREADY
bit S_AXI_HP0_WREADY
Definition: design_1_processing_system7_0_0.sv:375
M_AXI_GP1_ARQOS
bit< 3 :0 > M_AXI_GP1_ARQOS
Definition: design_1_processing_system7_0_0.sv:234
S_AXI_HP1_WACOUNT
bit< 5 :0 > S_AXI_HP1_WACOUNT
Definition: design_1_processing_system7_0_0.sv:429
I2C0_SDA_O
bit I2C0_SDA_O
Definition: design_1_processing_system7_0_0.sv:63
S_AXI_ACP_ARREADY
bit S_AXI_ACP_ARREADY
Definition: design_1_processing_system7_0_0.sv:329
M_AXI_GP1_RVALID
bit M_AXI_GP1_RVALID
Definition: design_1_processing_system7_0_0.sv:244
IRQ_P2F_I2C1
bit IRQ_P2F_I2C1
Definition: design_1_processing_system7_0_0.sv:575
S_AXI_HP1_ARQOS
bit< 3 :0 > S_AXI_HP1_ARQOS
Definition: design_1_processing_system7_0_0.sv:451
M_AXI_GP1_WVALID
bit M_AXI_GP1_WVALID
Definition: design_1_processing_system7_0_0.sv:217
SDIO0_BUSPOW
bit SDIO0_BUSPOW
Definition: design_1_processing_system7_0_0.sv:89
SDIO0_CLK_FB
bit SDIO0_CLK_FB
Definition: design_1_processing_system7_0_0.sv:79
M_AXI_GP1_AWCACHE
bit< 3 :0 > M_AXI_GP1_AWCACHE
Definition: design_1_processing_system7_0_0.sv:235
ENET1_GMII_RX_CLK
bit ENET1_GMII_RX_CLK
Definition: design_1_processing_system7_0_0.sv:52
CAN1_PHY_TX
bit CAN1_PHY_TX
Definition: design_1_processing_system7_0_0.sv:7
S_AXI_ACP_ARPROT
bit< 2 :0 > S_AXI_ACP_ARPROT
Definition: design_1_processing_system7_0_0.sv:348
S_AXI_HP0_ARQOS
bit< 3 :0 > S_AXI_HP0_ARQOS
Definition: design_1_processing_system7_0_0.sv:406
ENET0_GMII_COL
bit ENET0_GMII_COL
Definition: design_1_processing_system7_0_0.sv:25
IRQ_P2F_DMAC5
bit IRQ_P2F_DMAC5
Definition: design_1_processing_system7_0_0.sv:556
S_AXI_GP1_ARCACHE
bit< 3 :0 > S_AXI_GP1_ARCACHE
Definition: design_1_processing_system7_0_0.sv:319
I2C0_SCL_T
bit I2C0_SCL_T
Definition: design_1_processing_system7_0_0.sv:67
M_AXI_GP0_AWSIZE
bit< 2 :0 > M_AXI_GP0_AWSIZE
Definition: design_1_processing_system7_0_0.sv:187
S_AXI_GP1_RREADY
bit S_AXI_GP1_RREADY
Definition: design_1_processing_system7_0_0.sv:305
S_AXI_HP2_RDATA
bit< 63 :0 > S_AXI_HP2_RDATA
Definition: design_1_processing_system7_0_0.sv:470
S_AXI_HP1_RVALID
bit S_AXI_HP1_RVALID
Definition: design_1_processing_system7_0_0.sv:419
S_AXI_GP1_RLAST
bit S_AXI_GP1_RLAST
Definition: design_1_processing_system7_0_0.sv:293
ENET1_PTP_DELAY_REQ_TX
bit ENET1_PTP_DELAY_REQ_TX
Definition: design_1_processing_system7_0_0.sv:40
ENET1_GMII_TX_CLK
bit ENET1_GMII_TX_CLK
Definition: design_1_processing_system7_0_0.sv:55
S_AXI_ACP_RREADY
bit S_AXI_ACP_RREADY
Definition: design_1_processing_system7_0_0.sv:344
M_AXI_GP0_AWADDR
bit< 31 :0 > M_AXI_GP0_AWADDR
Definition: design_1_processing_system7_0_0.sv:191
S_AXI_HP1_ARREADY
bit S_AXI_HP1_ARREADY
Definition: design_1_processing_system7_0_0.sv:415
TRACE_DATA
bit< 1 :0 > TRACE_DATA
Definition: design_1_processing_system7_0_0.sv:165
S_AXI_GP1_RID
bit< 5 :0 > S_AXI_GP1_RID
Definition: design_1_processing_system7_0_0.sv:300
S_AXI_HP3_ARQOS
bit< 3 :0 > S_AXI_HP3_ARQOS
Definition: design_1_processing_system7_0_0.sv:541
S_AXI_HP1_ARID
bit< 5 :0 > S_AXI_HP1_ARID
Definition: design_1_processing_system7_0_0.sv:455
UART1_DTRN
bit UART1_DTRN
Definition: design_1_processing_system7_0_0.sv:140
S_AXI_HP3_WCOUNT
bit< 7 :0 > S_AXI_HP3_WCOUNT
Definition: design_1_processing_system7_0_0.sv:517
M_AXI_GP0_AWID
bit< 11 :0 > M_AXI_GP0_AWID
Definition: design_1_processing_system7_0_0.sv:180
CAN0_PHY_RX
bit CAN0_PHY_RX
Definition: design_1_processing_system7_0_0.sv:6
M_AXI_GP1_ARBURST
bit< 1 :0 > M_AXI_GP1_ARBURST
Definition: design_1_processing_system7_0_0.sv:221
UART1_TX
bit UART1_TX
Definition: design_1_processing_system7_0_0.sv:142
Core0_nFIQ
bit Core0_nFIQ
Definition: design_1_processing_system7_0_0.sv:580
S_AXI_HP0_WID
bit< 5 :0 > S_AXI_HP0_WID
Definition: design_1_processing_system7_0_0.sv:412
S_AXI_GP0_ARVALID
bit S_AXI_GP0_ARVALID
Definition: design_1_processing_system7_0_0.sv:263
M_AXI_GP0_ARVALID
bit M_AXI_GP0_ARVALID
Definition: design_1_processing_system7_0_0.sv:173
SDIO0_DATA_I
bit< 3 :0 > SDIO0_DATA_I
Definition: design_1_processing_system7_0_0.sv:83
USB1_VBUS_PWRFAULT
bit USB1_VBUS_PWRFAULT
Definition: design_1_processing_system7_0_0.sv:171
Core1_nFIQ
bit Core1_nFIQ
Definition: design_1_processing_system7_0_0.sv:582
Core0_nIRQ
bit Core0_nIRQ
Definition: design_1_processing_system7_0_0.sv:581
I2C1_SDA_O
bit I2C1_SDA_O
Definition: design_1_processing_system7_0_0.sv:69
M_AXI_GP1_WSTRB
bit< 3 :0 > M_AXI_GP1_WSTRB
Definition: design_1_processing_system7_0_0.sv:238
S_AXI_HP0_RID
bit< 5 :0 > S_AXI_HP0_RID
Definition: design_1_processing_system7_0_0.sv:379
M_AXI_GP1_AWQOS
bit< 3 :0 > M_AXI_GP1_AWQOS
Definition: design_1_processing_system7_0_0.sv:237
S_AXI_HP2_WREADY
bit S_AXI_HP2_WREADY
Definition: design_1_processing_system7_0_0.sv:465
FTMT_F2P_TRIGACK_1
bit FTMT_F2P_TRIGACK_1
Definition: design_1_processing_system7_0_0.sv:635
S_AXI_GP1_AWPROT
bit< 2 :0 > S_AXI_GP1_AWPROT
Definition: design_1_processing_system7_0_0.sv:315
S_AXI_GP0_BREADY
bit S_AXI_GP0_BREADY
Definition: design_1_processing_system7_0_0.sv:265
S_AXI_HP2_ARQOS
bit< 3 :0 > S_AXI_HP2_ARQOS
Definition: design_1_processing_system7_0_0.sv:496
DMA3_DRLAST
bit DMA3_DRLAST
Definition: design_1_processing_system7_0_0.sv:610
S_AXI_HP3_AWVALID
bit S_AXI_HP3_AWVALID
Definition: design_1_processing_system7_0_0.sv:522
M_AXI_GP0_ARPROT
bit< 2 :0 > M_AXI_GP0_ARPROT
Definition: design_1_processing_system7_0_0.sv:188
SPI0_SCLK_T
bit SPI0_SCLK_T
Definition: design_1_processing_system7_0_0.sv:106
DMA2_DATYPE
bit< 1 :0 > DMA2_DATYPE
Definition: design_1_processing_system7_0_0.sv:590
ENET1_PTP_PDELAY_RESP_RX
bit ENET1_PTP_PDELAY_RESP_RX
Definition: design_1_processing_system7_0_0.sv:43
S_AXI_HP1_AWLEN
bit< 3 :0 > S_AXI_HP1_AWLEN
Definition: design_1_processing_system7_0_0.sv:453
S_AXI_HP3_AWQOS
bit< 3 :0 > S_AXI_HP3_AWQOS
Definition: design_1_processing_system7_0_0.sv:544
DMA1_DATYPE
bit< 1 :0 > DMA1_DATYPE
Definition: design_1_processing_system7_0_0.sv:587
M_AXI_GP1_AWADDR
bit< 31 :0 > M_AXI_GP1_AWADDR
Definition: design_1_processing_system7_0_0.sv:230
IRQ_P2F_SPI0
bit IRQ_P2F_SPI0
Definition: design_1_processing_system7_0_0.sv:568
S_AXI_GP0_ARLEN
bit< 3 :0 > S_AXI_GP0_ARLEN
Definition: design_1_processing_system7_0_0.sv:281
S_AXI_HP3_ARVALID
bit S_AXI_HP3_ARVALID
Definition: design_1_processing_system7_0_0.sv:521
ENET1_GMII_TX_ER
bit< 0 :0 > ENET1_GMII_TX_ER
Definition: design_1_processing_system7_0_0.sv:35
SPI0_MOSI_T
bit SPI0_MOSI_T
Definition: design_1_processing_system7_0_0.sv:109
S_AXI_GP1_AWBURST
bit< 1 :0 > S_AXI_GP1_AWBURST
Definition: design_1_processing_system7_0_0.sv:311
SDIO1_DATA_I
bit< 3 :0 > SDIO1_DATA_I
Definition: design_1_processing_system7_0_0.sv:96
S_AXI_HP0_BVALID
bit S_AXI_HP0_BVALID
Definition: design_1_processing_system7_0_0.sv:372
ENET1_GMII_RX_DV
bit ENET1_GMII_RX_DV
Definition: design_1_processing_system7_0_0.sv:53
EVENT_STANDBYWFI
bit< 1 :0 > EVENT_STANDBYWFI
Definition: design_1_processing_system7_0_0.sv:653
S_AXI_ACP_AWREADY
bit S_AXI_ACP_AWREADY
Definition: design_1_processing_system7_0_0.sv:330
S_AXI_ACP_RLAST
bit S_AXI_ACP_RLAST
Definition: design_1_processing_system7_0_0.sv:332
USB0_VBUS_PWRFAULT
bit USB0_VBUS_PWRFAULT
Definition: design_1_processing_system7_0_0.sv:168
SPI1_MISO_I
bit SPI1_MISO_I
Definition: design_1_processing_system7_0_0.sv:124
SPI0_SS2_O
bit SPI0_SS2_O
Definition: design_1_processing_system7_0_0.sv:116
USB0_VBUS_PWRSELECT
bit USB0_VBUS_PWRSELECT
Definition: design_1_processing_system7_0_0.sv:167
S_AXI_GP1_ARLOCK
bit< 1 :0 > S_AXI_GP1_ARLOCK
Definition: design_1_processing_system7_0_0.sv:309
ENET0_PTP_PDELAY_REQ_RX
bit ENET0_PTP_PDELAY_REQ_RX
Definition: design_1_processing_system7_0_0.sv:16
IRQ_P2F_SDIO0
bit IRQ_P2F_SDIO0
Definition: design_1_processing_system7_0_0.sv:566
S_AXI_HP2_ACLK
bit S_AXI_HP2_ACLK
Definition: design_1_processing_system7_0_0.sv:475
DDR_DQ
bit< 31 :0 > DDR_DQ
Definition: design_1_processing_system7_0_0.sv:671
S_AXI_ACP_WLAST
bit S_AXI_ACP_WLAST
Definition: design_1_processing_system7_0_0.sv:345
UART1_DSRN
bit UART1_DSRN
Definition: design_1_processing_system7_0_0.sv:145
S_AXI_HP0_AWADDR
bit< 31 :0 > S_AXI_HP0_AWADDR
Definition: design_1_processing_system7_0_0.sv:403
ENET0_GMII_TX_CLK
bit ENET0_GMII_TX_CLK
Definition: design_1_processing_system7_0_0.sv:30
IRQ_P2F_DMAC2
bit IRQ_P2F_DMAC2
Definition: design_1_processing_system7_0_0.sv:553
S_AXI_GP1_AWADDR
bit< 31 :0 > S_AXI_GP1_AWADDR
Definition: design_1_processing_system7_0_0.sv:317
FCLK_CLK3
bit FCLK_CLK3
Definition: design_1_processing_system7_0_0.sv:619
S_AXI_HP3_ARCACHE
bit< 3 :0 > S_AXI_HP3_ARCACHE
Definition: design_1_processing_system7_0_0.sv:539
S_AXI_HP2_ARREADY
bit S_AXI_HP2_ARREADY
Definition: design_1_processing_system7_0_0.sv:460
M_AXI_GP1_ARCACHE
bit< 3 :0 > M_AXI_GP1_ARCACHE
Definition: design_1_processing_system7_0_0.sv:232
DDR_WEB
bit DDR_WEB
Definition: design_1_processing_system7_0_0.sv:665
M_AXI_GP1_AWBURST
bit< 1 :0 > M_AXI_GP1_AWBURST
Definition: design_1_processing_system7_0_0.sv:224
S_AXI_GP0_RREADY
bit S_AXI_GP0_RREADY
Definition: design_1_processing_system7_0_0.sv:266
S_AXI_GP1_AWREADY
bit S_AXI_GP1_AWREADY
Definition: design_1_processing_system7_0_0.sv:291
M_AXI_GP0_ARQOS
bit< 3 :0 > M_AXI_GP0_ARQOS
Definition: design_1_processing_system7_0_0.sv:195
DMA0_DRLAST
bit DMA0_DRLAST
Definition: design_1_processing_system7_0_0.sv:598
S_AXI_GP1_WID
bit< 5 :0 > S_AXI_GP1_WID
Definition: design_1_processing_system7_0_0.sv:328
S_AXI_HP0_RREADY
bit S_AXI_HP0_RREADY
Definition: design_1_processing_system7_0_0.sv:390
SPI1_SS2_O
bit SPI1_SS2_O
Definition: design_1_processing_system7_0_0.sv:130
ENET1_MDIO_O
bit ENET1_MDIO_O
Definition: design_1_processing_system7_0_0.sv:37
DMA3_ACLK
bit DMA3_ACLK
Definition: design_1_processing_system7_0_0.sv:608
M_AXI_GP1_AWID
bit< 11 :0 > M_AXI_GP1_AWID
Definition: design_1_processing_system7_0_0.sv:219
M_AXI_GP0_AWREADY
bit M_AXI_GP0_AWREADY
Definition: design_1_processing_system7_0_0.sv:202
S_AXI_GP0_BID
bit< 5 :0 > S_AXI_GP0_BID
Definition: design_1_processing_system7_0_0.sv:260
S_AXI_GP0_AWADDR
bit< 31 :0 > S_AXI_GP0_AWADDR
Definition: design_1_processing_system7_0_0.sv:278
SPI1_SCLK_T
bit SPI1_SCLK_T
Definition: design_1_processing_system7_0_0.sv:120
IRQ_F2P
bit< 0 :0 > IRQ_F2P
Definition: design_1_processing_system7_0_0.sv:579
USB0_PORT_INDCTL
bit< 1 :0 > USB0_PORT_INDCTL
Definition: design_1_processing_system7_0_0.sv:166
S_AXI_ACP_ARBURST
bit< 1 :0 > S_AXI_ACP_ARBURST
Definition: design_1_processing_system7_0_0.sv:360
S_AXI_GP0_WREADY
bit S_AXI_GP0_WREADY
Definition: design_1_processing_system7_0_0.sv:256
FPGA_IDLE_N
bit FPGA_IDLE_N
Definition: design_1_processing_system7_0_0.sv:650
I2C1_SDA_I
bit I2C1_SDA_I
Definition: design_1_processing_system7_0_0.sv:68
I2C1_SDA_T
bit I2C1_SDA_T
Definition: design_1_processing_system7_0_0.sv:70
S_AXI_GP1_BID
bit< 5 :0 > S_AXI_GP1_BID
Definition: design_1_processing_system7_0_0.sv:299
IRQ_P2F_DMAC0
bit IRQ_P2F_DMAC0
Definition: design_1_processing_system7_0_0.sv:551
PS_SRSTB
bit PS_SRSTB
Definition: design_1_processing_system7_0_0.sv:674
TTC0_WAVE0_OUT
bit TTC0_WAVE0_OUT
Definition: design_1_processing_system7_0_0.sv:148
S_AXI_ACP_BVALID
bit S_AXI_ACP_BVALID
Definition: design_1_processing_system7_0_0.sv:331
ENET1_SOF_RX
bit ENET1_SOF_RX
Definition: design_1_processing_system7_0_0.sv:47
S_AXI_HP3_WID
bit< 5 :0 > S_AXI_HP3_WID
Definition: design_1_processing_system7_0_0.sv:547
S_AXI_HP1_RDATA
bit< 63 :0 > S_AXI_HP1_RDATA
Definition: design_1_processing_system7_0_0.sv:425
S_AXI_GP0_RDATA
bit< 31 :0 > S_AXI_GP0_RDATA
Definition: design_1_processing_system7_0_0.sv:259
S_AXI_HP2_RVALID
bit S_AXI_HP2_RVALID
Definition: design_1_processing_system7_0_0.sv:464
SDIO0_CMD_O
bit SDIO0_CMD_O
Definition: design_1_processing_system7_0_0.sv:80
SDIO0_BUSVOLT
bit< 2 :0 > SDIO0_BUSVOLT
Definition: design_1_processing_system7_0_0.sv:90
S_AXI_HP2_ARSIZE
bit< 2 :0 > S_AXI_HP2_ARSIZE
Definition: design_1_processing_system7_0_0.sv:486
Core1_nIRQ
bit Core1_nIRQ
Definition: design_1_processing_system7_0_0.sv:583
SPI0_MISO_T
bit SPI0_MISO_T
Definition: design_1_processing_system7_0_0.sv:112
IRQ_P2F_CTI
bit IRQ_P2F_CTI
Definition: design_1_processing_system7_0_0.sv:561
UART0_CTSN
bit UART0_CTSN
Definition: design_1_processing_system7_0_0.sv:135
IRQ_P2F_CAN0
bit IRQ_P2F_CAN0
Definition: design_1_processing_system7_0_0.sv:570
TTC0_WAVE2_OUT
bit TTC0_WAVE2_OUT
Definition: design_1_processing_system7_0_0.sv:150
FCLK_RESET3_N
bit FCLK_RESET3_N
Definition: design_1_processing_system7_0_0.sv:627
S_AXI_GP1_WLAST
bit S_AXI_GP1_WLAST
Definition: design_1_processing_system7_0_0.sv:306
S_AXI_HP1_AWID
bit< 5 :0 > S_AXI_HP1_AWID
Definition: design_1_processing_system7_0_0.sv:456
WDT_CLK_IN
bit WDT_CLK_IN
Definition: design_1_processing_system7_0_0.sv:160
SDIO1_CLK_FB
bit SDIO1_CLK_FB
Definition: design_1_processing_system7_0_0.sv:92
M_AXI_GP0_ARADDR
bit< 31 :0 > M_AXI_GP0_ARADDR
Definition: design_1_processing_system7_0_0.sv:190
DMA1_DRLAST
bit DMA1_DRLAST
Definition: design_1_processing_system7_0_0.sv:602
SPI1_MOSI_O
bit SPI1_MOSI_O
Definition: design_1_processing_system7_0_0.sv:122
SPI1_SS_O
bit SPI1_SS_O
Definition: design_1_processing_system7_0_0.sv:128
FCLK_CLKTRIG1_N
bit FCLK_CLKTRIG1_N
Definition: design_1_processing_system7_0_0.sv:621
PJTAG_TDO
bit PJTAG_TDO
Definition: design_1_processing_system7_0_0.sv:77
S_AXI_HP2_AWCACHE
bit< 3 :0 > S_AXI_HP2_AWCACHE
Definition: design_1_processing_system7_0_0.sv:497
S_AXI_GP1_ARQOS
bit< 3 :0 > S_AXI_GP1_ARQOS
Definition: design_1_processing_system7_0_0.sv:321
S_AXI_HP2_ARLEN
bit< 3 :0 > S_AXI_HP2_ARLEN
Definition: design_1_processing_system7_0_0.sv:495
S_AXI_ACP_ARADDR
bit< 31 :0 > S_AXI_ACP_ARADDR
Definition: design_1_processing_system7_0_0.sv:352
IRQ_P2F_SDIO1
bit IRQ_P2F_SDIO1
Definition: design_1_processing_system7_0_0.sv:574
DDR_ARB
bit< 3 :0 > DDR_ARB
Definition: design_1_processing_system7_0_0.sv:655
M_AXI_GP0_ARCACHE
bit< 3 :0 > M_AXI_GP0_ARCACHE
Definition: design_1_processing_system7_0_0.sv:193
SDIO1_DATA_O
bit< 3 :0 > SDIO1_DATA_O
Definition: design_1_processing_system7_0_0.sv:97
S_AXI_GP0_ARLOCK
bit< 1 :0 > S_AXI_GP0_ARLOCK
Definition: design_1_processing_system7_0_0.sv:270
S_AXI_HP2_ARPROT
bit< 2 :0 > S_AXI_HP2_ARPROT
Definition: design_1_processing_system7_0_0.sv:490
S_AXI_HP3_AWID
bit< 5 :0 > S_AXI_HP3_AWID
Definition: design_1_processing_system7_0_0.sv:546
S_AXI_HP1_BRESP
bit< 1 :0 > S_AXI_HP1_BRESP
Definition: design_1_processing_system7_0_0.sv:421
design_1_processing_system7_0_0
module design_1_processing_system7_0_0(ENET0_GMII_TX_EN, ENET0_GMII_TX_ER, ENET0_MDIO_MDC, ENET0_MDIO_O, ENET0_MDIO_T, ENET0_GMII_TXD, ENET0_GMII_COL, ENET0_GMII_CRS, ENET0_GMII_RX_CLK, ENET0_GMII_RX_DV, ENET0_GMII_RX_ER, ENET0_GMII_TX_CLK, ENET0_MDIO_I, ENET0_EXT_INTIN, ENET0_GMII_RXD, GPIO_I, GPIO_O, GPIO_T, TTC0_WAVE0_OUT, TTC0_WAVE1_OUT, TTC0_WAVE2_OUT, M_AXI_GP0_ARVALID, M_AXI_GP0_AWVALID, M_AXI_GP0_BREADY, M_AXI_GP0_RREADY, M_AXI_GP0_WLAST, M_AXI_GP0_WVALID, M_AXI_GP0_ARID, M_AXI_GP0_AWID, M_AXI_GP0_WID, M_AXI_GP0_ARBURST, M_AXI_GP0_ARLOCK, M_AXI_GP0_ARSIZE, M_AXI_GP0_AWBURST, M_AXI_GP0_AWLOCK, M_AXI_GP0_AWSIZE, M_AXI_GP0_ARPROT, M_AXI_GP0_AWPROT, M_AXI_GP0_ARADDR, M_AXI_GP0_AWADDR, M_AXI_GP0_WDATA, M_AXI_GP0_ARCACHE, M_AXI_GP0_ARLEN, M_AXI_GP0_ARQOS, M_AXI_GP0_AWCACHE, M_AXI_GP0_AWLEN, M_AXI_GP0_AWQOS, M_AXI_GP0_WSTRB, M_AXI_GP0_ACLK, M_AXI_GP0_ARREADY, M_AXI_GP0_AWREADY, M_AXI_GP0_BVALID, M_AXI_GP0_RLAST, M_AXI_GP0_RVALID, M_AXI_GP0_WREADY, M_AXI_GP0_BID, M_AXI_GP0_RID, M_AXI_GP0_BRESP, M_AXI_GP0_RRESP, M_AXI_GP0_RDATA, S_AXI_HP0_ARREADY, S_AXI_HP0_AWREADY, S_AXI_HP0_BVALID, S_AXI_HP0_RLAST, S_AXI_HP0_RVALID, S_AXI_HP0_WREADY, S_AXI_HP0_BRESP, S_AXI_HP0_RRESP, S_AXI_HP0_BID, S_AXI_HP0_RID, S_AXI_HP0_RDATA, S_AXI_HP0_RCOUNT, S_AXI_HP0_WCOUNT, S_AXI_HP0_RACOUNT, S_AXI_HP0_WACOUNT, S_AXI_HP0_ACLK, S_AXI_HP0_ARVALID, S_AXI_HP0_AWVALID, S_AXI_HP0_BREADY, S_AXI_HP0_RDISSUECAP1_EN, S_AXI_HP0_RREADY, S_AXI_HP0_WLAST, S_AXI_HP0_WRISSUECAP1_EN, S_AXI_HP0_WVALID, S_AXI_HP0_ARBURST, S_AXI_HP0_ARLOCK, S_AXI_HP0_ARSIZE, S_AXI_HP0_AWBURST, S_AXI_HP0_AWLOCK, S_AXI_HP0_AWSIZE, S_AXI_HP0_ARPROT, S_AXI_HP0_AWPROT, S_AXI_HP0_ARADDR, S_AXI_HP0_AWADDR, S_AXI_HP0_ARCACHE, S_AXI_HP0_ARLEN, S_AXI_HP0_ARQOS, S_AXI_HP0_AWCACHE, S_AXI_HP0_AWLEN, S_AXI_HP0_AWQOS, S_AXI_HP0_ARID, S_AXI_HP0_AWID, S_AXI_HP0_WID, S_AXI_HP0_WDATA, S_AXI_HP0_WSTRB, IRQ_F2P, FCLK_CLK0, FCLK_CLK1, FCLK_CLK2, FCLK_CLK3, FCLK_RESET0_N, MIO, DDR_CAS_n, DDR_CKE, DDR_Clk_n, DDR_Clk, DDR_CS_n, DDR_DRSTB, DDR_ODT, DDR_RAS_n, DDR_WEB, DDR_BankAddr, DDR_Addr, DDR_VRN, DDR_VRP, DDR_DM, DDR_DQ, DDR_DQS_n, DDR_DQS, PS_SRSTB, PS_CLK, PS_PORB)
Definition: design_1_processing_system7_0_0.sv:679
M_AXI_GP0_WVALID
bit M_AXI_GP0_WVALID
Definition: design_1_processing_system7_0_0.sv:178
S_AXI_ACP_AWLEN
bit< 3 :0 > S_AXI_ACP_AWLEN
Definition: design_1_processing_system7_0_0.sv:358
S_AXI_HP3_WVALID
bit S_AXI_HP3_WVALID
Definition: design_1_processing_system7_0_0.sv:528
S_AXI_GP0_RRESP
bit< 1 :0 > S_AXI_GP0_RRESP
Definition: design_1_processing_system7_0_0.sv:258
ENET0_MDIO_I
bit ENET0_MDIO_I
Definition: design_1_processing_system7_0_0.sv:31
S_AXI_HP1_ARVALID
bit S_AXI_HP1_ARVALID
Definition: design_1_processing_system7_0_0.sv:431
S_AXI_HP3_ARID
bit< 5 :0 > S_AXI_HP3_ARID
Definition: design_1_processing_system7_0_0.sv:545
S_AXI_GP1_AWID
bit< 5 :0 > S_AXI_GP1_AWID
Definition: design_1_processing_system7_0_0.sv:327
ENET1_PTP_PDELAY_REQ_RX
bit ENET1_PTP_PDELAY_REQ_RX
Definition: design_1_processing_system7_0_0.sv:41
DMA0_ACLK
bit DMA0_ACLK
Definition: design_1_processing_system7_0_0.sv:596
MIO
bit< 53 :0 > MIO
Definition: design_1_processing_system7_0_0.sv:656
TTC1_CLK2_IN
bit TTC1_CLK2_IN
Definition: design_1_processing_system7_0_0.sv:159
SPI1_SCLK_O
bit SPI1_SCLK_O
Definition: design_1_processing_system7_0_0.sv:119
GPIO_I
bit< 7 :0 > GPIO_I
Definition: design_1_processing_system7_0_0.sv:59
S_AXI_HP0_RVALID
bit S_AXI_HP0_RVALID
Definition: design_1_processing_system7_0_0.sv:374
S_AXI_HP2_WLAST
bit S_AXI_HP2_WLAST
Definition: design_1_processing_system7_0_0.sv:481
ENET0_GMII_RX_DV
bit ENET0_GMII_RX_DV
Definition: design_1_processing_system7_0_0.sv:28
S_AXI_GP0_AWVALID
bit S_AXI_GP0_AWVALID
Definition: design_1_processing_system7_0_0.sv:264
PJTAG_TMS
bit PJTAG_TMS
Definition: design_1_processing_system7_0_0.sv:75
S_AXI_HP3_AWLOCK
bit< 1 :0 > S_AXI_HP3_AWLOCK
Definition: design_1_processing_system7_0_0.sv:533
DDR_BankAddr
bit< 2 :0 > DDR_BankAddr
Definition: design_1_processing_system7_0_0.sv:666
S_AXI_GP0_WVALID
bit S_AXI_GP0_WVALID
Definition: design_1_processing_system7_0_0.sv:268
PS_PORB
bit PS_PORB
Definition: design_1_processing_system7_0_0.sv:676
GPIO_O
bit< 7 :0 > GPIO_O
Definition: design_1_processing_system7_0_0.sv:60
S_AXI_HP3_RDATA
bit< 63 :0 > S_AXI_HP3_RDATA
Definition: design_1_processing_system7_0_0.sv:515
S_AXI_GP1_BVALID
bit S_AXI_GP1_BVALID
Definition: design_1_processing_system7_0_0.sv:292
S_AXI_HP2_ARID
bit< 5 :0 > S_AXI_HP2_ARID
Definition: design_1_processing_system7_0_0.sv:500
S_AXI_HP0_WRISSUECAP1_EN
bit S_AXI_HP0_WRISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:392
SPI0_SS1_O
bit SPI0_SS1_O
Definition: design_1_processing_system7_0_0.sv:115
S_AXI_GP0_RVALID
bit S_AXI_GP0_RVALID
Definition: design_1_processing_system7_0_0.sv:255
TTC0_CLK1_IN
bit TTC0_CLK1_IN
Definition: design_1_processing_system7_0_0.sv:152
S_AXI_GP1_ARSIZE
bit< 2 :0 > S_AXI_GP1_ARSIZE
Definition: design_1_processing_system7_0_0.sv:310
ENET1_MDIO_T
bit ENET1_MDIO_T
Definition: design_1_processing_system7_0_0.sv:38
S_AXI_HP0_WDATA
bit< 63 :0 > S_AXI_HP0_WDATA
Definition: design_1_processing_system7_0_0.sv:413
S_AXI_HP2_WID
bit< 5 :0 > S_AXI_HP2_WID
Definition: design_1_processing_system7_0_0.sv:502
TTC1_CLK0_IN
bit TTC1_CLK0_IN
Definition: design_1_processing_system7_0_0.sv:157
S_AXI_HP0_BREADY
bit S_AXI_HP0_BREADY
Definition: design_1_processing_system7_0_0.sv:388
DDR_DQS
bit< 3 :0 > DDR_DQS
Definition: design_1_processing_system7_0_0.sv:673
I2C0_SDA_T
bit I2C0_SDA_T
Definition: design_1_processing_system7_0_0.sv:64
IRQ_P2F_USB0
bit IRQ_P2F_USB0
Definition: design_1_processing_system7_0_0.sv:563
S_AXI_HP1_AWQOS
bit< 3 :0 > S_AXI_HP1_AWQOS
Definition: design_1_processing_system7_0_0.sv:454
S_AXI_ACP_RDATA
bit< 63 :0 > S_AXI_ACP_RDATA
Definition: design_1_processing_system7_0_0.sv:339
S_AXI_HP1_WID
bit< 5 :0 > S_AXI_HP1_WID
Definition: design_1_processing_system7_0_0.sv:457
S_AXI_HP3_WDATA
bit< 63 :0 > S_AXI_HP3_WDATA
Definition: design_1_processing_system7_0_0.sv:548
S_AXI_HP3_RACOUNT
bit< 2 :0 > S_AXI_HP3_RACOUNT
Definition: design_1_processing_system7_0_0.sv:518
TRACE_CLK_OUT
bit TRACE_CLK_OUT
Definition: design_1_processing_system7_0_0.sv:163
SDIO1_CMD_O
bit SDIO1_CMD_O
Definition: design_1_processing_system7_0_0.sv:93
SDIO0_LED
bit SDIO0_LED
Definition: design_1_processing_system7_0_0.sv:86
S_AXI_HP2_ARADDR
bit< 31 :0 > S_AXI_HP2_ARADDR
Definition: design_1_processing_system7_0_0.sv:492
M_AXI_GP1_RRESP
bit< 1 :0 > M_AXI_GP1_RRESP
Definition: design_1_processing_system7_0_0.sv:249
S_AXI_HP0_RDISSUECAP1_EN
bit S_AXI_HP0_RDISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:389
FCLK_RESET2_N
bit FCLK_RESET2_N
Definition: design_1_processing_system7_0_0.sv:626
IRQ_P2F_CAN1
bit IRQ_P2F_CAN1
Definition: design_1_processing_system7_0_0.sv:578
DMA1_DAREADY
bit DMA1_DAREADY
Definition: design_1_processing_system7_0_0.sv:601
SPI0_SS_T
bit SPI0_SS_T
Definition: design_1_processing_system7_0_0.sv:117
S_AXI_HP3_ACLK
bit S_AXI_HP3_ACLK
Definition: design_1_processing_system7_0_0.sv:520
ENET1_SOF_TX
bit ENET1_SOF_TX
Definition: design_1_processing_system7_0_0.sv:48
FTMT_P2F_TRIG_1
bit FTMT_P2F_TRIG_1
Definition: design_1_processing_system7_0_0.sv:644
S_AXI_HP3_AWADDR
bit< 31 :0 > S_AXI_HP3_AWADDR
Definition: design_1_processing_system7_0_0.sv:538
S_AXI_ACP_AWVALID
bit S_AXI_ACP_AWVALID
Definition: design_1_processing_system7_0_0.sv:342
S_AXI_HP0_RCOUNT
bit< 7 :0 > S_AXI_HP0_RCOUNT
Definition: design_1_processing_system7_0_0.sv:381
S_AXI_GP1_RRESP
bit< 1 :0 > S_AXI_GP1_RRESP
Definition: design_1_processing_system7_0_0.sv:297
ENET1_GMII_CRS
bit ENET1_GMII_CRS
Definition: design_1_processing_system7_0_0.sv:51
S_AXI_ACP_AWCACHE
bit< 3 :0 > S_AXI_ACP_AWCACHE
Definition: design_1_processing_system7_0_0.sv:357
M_AXI_GP0_WDATA
bit< 31 :0 > M_AXI_GP0_WDATA
Definition: design_1_processing_system7_0_0.sv:192
FTMD_TRACEIN_ATID
bit< 3 :0 > FTMD_TRACEIN_ATID
Definition: design_1_processing_system7_0_0.sv:631
S_AXI_GP1_ARPROT
bit< 2 :0 > S_AXI_GP1_ARPROT
Definition: design_1_processing_system7_0_0.sv:314
S_AXI_HP0_WCOUNT
bit< 7 :0 > S_AXI_HP0_WCOUNT
Definition: design_1_processing_system7_0_0.sv:382
UART1_CTSN
bit UART1_CTSN
Definition: design_1_processing_system7_0_0.sv:143
M_AXI_GP0_BID
bit< 11 :0 > M_AXI_GP0_BID
Definition: design_1_processing_system7_0_0.sv:207
S_AXI_HP0_RDATA
bit< 63 :0 > S_AXI_HP0_RDATA
Definition: design_1_processing_system7_0_0.sv:380
USB1_VBUS_PWRSELECT
bit USB1_VBUS_PWRSELECT
Definition: design_1_processing_system7_0_0.sv:170
S_AXI_HP1_RCOUNT
bit< 7 :0 > S_AXI_HP1_RCOUNT
Definition: design_1_processing_system7_0_0.sv:426
S_AXI_HP2_RREADY
bit S_AXI_HP2_RREADY
Definition: design_1_processing_system7_0_0.sv:480
M_AXI_GP1_RDATA
bit< 31 :0 > M_AXI_GP1_RDATA
Definition: design_1_processing_system7_0_0.sv:250
S_AXI_HP3_AWLEN
bit< 3 :0 > S_AXI_HP3_AWLEN
Definition: design_1_processing_system7_0_0.sv:543
M_AXI_GP0_WREADY
bit M_AXI_GP0_WREADY
Definition: design_1_processing_system7_0_0.sv:206
M_AXI_GP1_RID
bit< 11 :0 > M_AXI_GP1_RID
Definition: design_1_processing_system7_0_0.sv:247
SDIO1_LED
bit SDIO1_LED
Definition: design_1_processing_system7_0_0.sv:99
M_AXI_GP0_AWBURST
bit< 1 :0 > M_AXI_GP0_AWBURST
Definition: design_1_processing_system7_0_0.sv:185
M_AXI_GP0_ARREADY
bit M_AXI_GP0_ARREADY
Definition: design_1_processing_system7_0_0.sv:201
DMA2_DRLAST
bit DMA2_DRLAST
Definition: design_1_processing_system7_0_0.sv:606
M_AXI_GP0_RID
bit< 11 :0 > M_AXI_GP0_RID
Definition: design_1_processing_system7_0_0.sv:208
IRQ_P2F_SMC
bit IRQ_P2F_SMC
Definition: design_1_processing_system7_0_0.sv:559
DMA3_DAVALID
bit DMA3_DAVALID
Definition: design_1_processing_system7_0_0.sv:594
S_AXI_HP1_AWADDR
bit< 31 :0 > S_AXI_HP1_AWADDR
Definition: design_1_processing_system7_0_0.sv:448
S_AXI_HP3_ARPROT
bit< 2 :0 > S_AXI_HP3_ARPROT
Definition: design_1_processing_system7_0_0.sv:535
SDIO1_BUSPOW
bit SDIO1_BUSPOW
Definition: design_1_processing_system7_0_0.sv:102
S_AXI_GP0_RLAST
bit S_AXI_GP0_RLAST
Definition: design_1_processing_system7_0_0.sv:254
DMA3_DRVALID
bit DMA3_DRVALID
Definition: design_1_processing_system7_0_0.sv:611
ENET0_PTP_PDELAY_RESP_RX
bit ENET0_PTP_PDELAY_RESP_RX
Definition: design_1_processing_system7_0_0.sv:18
S_AXI_HP1_WCOUNT
bit< 7 :0 > S_AXI_HP1_WCOUNT
Definition: design_1_processing_system7_0_0.sv:427
SDIO0_CMD_T
bit SDIO0_CMD_T
Definition: design_1_processing_system7_0_0.sv:82
M_AXI_GP1_ARSIZE
bit< 2 :0 > M_AXI_GP1_ARSIZE
Definition: design_1_processing_system7_0_0.sv:223
IRQ_P2F_DMAC4
bit IRQ_P2F_DMAC4
Definition: design_1_processing_system7_0_0.sv:555
S_AXI_GP1_ARADDR
bit< 31 :0 > S_AXI_GP1_ARADDR
Definition: design_1_processing_system7_0_0.sv:316
S_AXI_HP1_BVALID
bit S_AXI_HP1_BVALID
Definition: design_1_processing_system7_0_0.sv:417
S_AXI_HP2_RLAST
bit S_AXI_HP2_RLAST
Definition: design_1_processing_system7_0_0.sv:463
SDIO1_CLK
bit SDIO1_CLK
Definition: design_1_processing_system7_0_0.sv:91
S_AXI_GP0_AWBURST
bit< 1 :0 > S_AXI_GP0_AWBURST
Definition: design_1_processing_system7_0_0.sv:272
M_AXI_GP1_AWREADY
bit M_AXI_GP1_AWREADY
Definition: design_1_processing_system7_0_0.sv:241
TTC0_CLK2_IN
bit TTC0_CLK2_IN
Definition: design_1_processing_system7_0_0.sv:153
S_AXI_HP2_ARCACHE
bit< 3 :0 > S_AXI_HP2_ARCACHE
Definition: design_1_processing_system7_0_0.sv:494
ENET0_GMII_TX_ER
bit< 0 :0 > ENET0_GMII_TX_ER
Definition: design_1_processing_system7_0_0.sv:10
I2C0_SCL_I
bit I2C0_SCL_I
Definition: design_1_processing_system7_0_0.sv:65
S_AXI_HP2_WRISSUECAP1_EN
bit S_AXI_HP2_WRISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:482
M_AXI_GP0_AWPROT
bit< 2 :0 > M_AXI_GP0_AWPROT
Definition: design_1_processing_system7_0_0.sv:189
S_AXI_ACP_WVALID
bit S_AXI_ACP_WVALID
Definition: design_1_processing_system7_0_0.sv:346
S_AXI_HP2_ARVALID
bit S_AXI_HP2_ARVALID
Definition: design_1_processing_system7_0_0.sv:476
FTMT_F2P_TRIG_2
bit FTMT_F2P_TRIG_2
Definition: design_1_processing_system7_0_0.sv:636
M_AXI_GP1_ARPROT
bit< 2 :0 > M_AXI_GP1_ARPROT
Definition: design_1_processing_system7_0_0.sv:227
SDIO1_BUSVOLT
bit< 2 :0 > SDIO1_BUSVOLT
Definition: design_1_processing_system7_0_0.sv:103
S_AXI_HP2_RACOUNT
bit< 2 :0 > S_AXI_HP2_RACOUNT
Definition: design_1_processing_system7_0_0.sv:473
S_AXI_HP3_WLAST
bit S_AXI_HP3_WLAST
Definition: design_1_processing_system7_0_0.sv:526
FTMT_F2P_TRIGACK_2
bit FTMT_F2P_TRIGACK_2
Definition: design_1_processing_system7_0_0.sv:637
S_AXI_GP0_ARADDR
bit< 31 :0 > S_AXI_GP0_ARADDR
Definition: design_1_processing_system7_0_0.sv:277
ENET1_EXT_INTIN
bit ENET1_EXT_INTIN
Definition: design_1_processing_system7_0_0.sv:57
M_AXI_GP1_BRESP
bit< 1 :0 > M_AXI_GP1_BRESP
Definition: design_1_processing_system7_0_0.sv:248
S_AXI_HP1_WVALID
bit S_AXI_HP1_WVALID
Definition: design_1_processing_system7_0_0.sv:438
SPI1_MISO_O
bit SPI1_MISO_O
Definition: design_1_processing_system7_0_0.sv:125
S_AXI_HP3_BREADY
bit S_AXI_HP3_BREADY
Definition: design_1_processing_system7_0_0.sv:523
S_AXI_ACP_AWPROT
bit< 2 :0 > S_AXI_ACP_AWPROT
Definition: design_1_processing_system7_0_0.sv:350
ENET0_GMII_RX_CLK
bit ENET0_GMII_RX_CLK
Definition: design_1_processing_system7_0_0.sv:27
M_AXI_GP1_ACLK
bit M_AXI_GP1_ACLK
Definition: design_1_processing_system7_0_0.sv:239
TRACE_CTL
bit TRACE_CTL
Definition: design_1_processing_system7_0_0.sv:164
M_AXI_GP0_RREADY
bit M_AXI_GP0_RREADY
Definition: design_1_processing_system7_0_0.sv:176
TTC1_WAVE1_OUT
bit TTC1_WAVE1_OUT
Definition: design_1_processing_system7_0_0.sv:155
I2C0_SCL_O
bit I2C0_SCL_O
Definition: design_1_processing_system7_0_0.sv:66
ENET1_PTP_PDELAY_RESP_TX
bit ENET1_PTP_PDELAY_RESP_TX
Definition: design_1_processing_system7_0_0.sv:44
FTMT_P2F_TRIGACK_0
bit FTMT_P2F_TRIGACK_0
Definition: design_1_processing_system7_0_0.sv:641
S_AXI_HP2_WVALID
bit S_AXI_HP2_WVALID
Definition: design_1_processing_system7_0_0.sv:483
S_AXI_GP0_ARCACHE
bit< 3 :0 > S_AXI_GP0_ARCACHE
Definition: design_1_processing_system7_0_0.sv:280
TTC1_CLK1_IN
bit TTC1_CLK1_IN
Definition: design_1_processing_system7_0_0.sv:158
S_AXI_ACP_AWADDR
bit< 31 :0 > S_AXI_ACP_AWADDR
Definition: design_1_processing_system7_0_0.sv:353
S_AXI_GP1_ACLK
bit S_AXI_GP1_ACLK
Definition: design_1_processing_system7_0_0.sv:301
S_AXI_ACP_AWLOCK
bit< 1 :0 > S_AXI_ACP_AWLOCK
Definition: design_1_processing_system7_0_0.sv:364
DMA0_DAREADY
bit DMA0_DAREADY
Definition: design_1_processing_system7_0_0.sv:597
S_AXI_HP0_ARID
bit< 5 :0 > S_AXI_HP0_ARID
Definition: design_1_processing_system7_0_0.sv:410
M_AXI_GP1_ARLEN
bit< 3 :0 > M_AXI_GP1_ARLEN
Definition: design_1_processing_system7_0_0.sv:233
S_AXI_ACP_RRESP
bit< 1 :0 > S_AXI_ACP_RRESP
Definition: design_1_processing_system7_0_0.sv:336
S_AXI_HP3_ARADDR
bit< 31 :0 > S_AXI_HP3_ARADDR
Definition: design_1_processing_system7_0_0.sv:537
S_AXI_HP1_RID
bit< 5 :0 > S_AXI_HP1_RID
Definition: design_1_processing_system7_0_0.sv:424
DDR_Clk
bit DDR_Clk
Definition: design_1_processing_system7_0_0.sv:660
M_AXI_GP1_WLAST
bit M_AXI_GP1_WLAST
Definition: design_1_processing_system7_0_0.sv:216
S_AXI_GP0_ARQOS
bit< 3 :0 > S_AXI_GP0_ARQOS
Definition: design_1_processing_system7_0_0.sv:282
S_AXI_HP3_ARREADY
bit S_AXI_HP3_ARREADY
Definition: design_1_processing_system7_0_0.sv:505
FTMT_P2F_DEBUG
bit< 31 :0 > FTMT_P2F_DEBUG
Definition: design_1_processing_system7_0_0.sv:649
M_AXI_GP1_ARLOCK
bit< 1 :0 > M_AXI_GP1_ARLOCK
Definition: design_1_processing_system7_0_0.sv:222
SDIO1_DATA_T
bit< 3 :0 > SDIO1_DATA_T
Definition: design_1_processing_system7_0_0.sv:98
EVENT_EVENTO
bit EVENT_EVENTO
Definition: design_1_processing_system7_0_0.sv:651
M_AXI_GP1_WID
bit< 11 :0 > M_AXI_GP1_WID
Definition: design_1_processing_system7_0_0.sv:220
S_AXI_HP2_BID
bit< 5 :0 > S_AXI_HP2_BID
Definition: design_1_processing_system7_0_0.sv:468
S_AXI_ACP_AWQOS
bit< 3 :0 > S_AXI_ACP_AWQOS
Definition: design_1_processing_system7_0_0.sv:359
DDR_Clk_n
bit DDR_Clk_n
Definition: design_1_processing_system7_0_0.sv:659
S_AXI_GP0_AWSIZE
bit< 2 :0 > S_AXI_GP0_AWSIZE
Definition: design_1_processing_system7_0_0.sv:274
DDR_Addr
bit< 14 :0 > DDR_Addr
Definition: design_1_processing_system7_0_0.sv:667
UART1_RIN
bit UART1_RIN
Definition: design_1_processing_system7_0_0.sv:146
S_AXI_HP1_WREADY
bit S_AXI_HP1_WREADY
Definition: design_1_processing_system7_0_0.sv:420
S_AXI_GP1_AWCACHE
bit< 3 :0 > S_AXI_GP1_AWCACHE
Definition: design_1_processing_system7_0_0.sv:322
S_AXI_GP0_ARREADY
bit S_AXI_GP0_ARREADY
Definition: design_1_processing_system7_0_0.sv:251
S_AXI_GP0_AWCACHE
bit< 3 :0 > S_AXI_GP0_AWCACHE
Definition: design_1_processing_system7_0_0.sv:283
FTMT_P2F_TRIG_2
bit FTMT_P2F_TRIG_2
Definition: design_1_processing_system7_0_0.sv:646
S_AXI_HP1_ARPROT
bit< 2 :0 > S_AXI_HP1_ARPROT
Definition: design_1_processing_system7_0_0.sv:445
S_AXI_HP3_BRESP
bit< 1 :0 > S_AXI_HP3_BRESP
Definition: design_1_processing_system7_0_0.sv:511
M_AXI_GP0_WID
bit< 11 :0 > M_AXI_GP0_WID
Definition: design_1_processing_system7_0_0.sv:181
S_AXI_HP2_BREADY
bit S_AXI_HP2_BREADY
Definition: design_1_processing_system7_0_0.sv:478
S_AXI_HP3_WREADY
bit S_AXI_HP3_WREADY
Definition: design_1_processing_system7_0_0.sv:510
S_AXI_GP0_AWID
bit< 5 :0 > S_AXI_GP0_AWID
Definition: design_1_processing_system7_0_0.sv:288
M_AXI_GP0_BRESP
bit< 1 :0 > M_AXI_GP0_BRESP
Definition: design_1_processing_system7_0_0.sv:209
DMA3_DATYPE
bit< 1 :0 > DMA3_DATYPE
Definition: design_1_processing_system7_0_0.sv:593
FTMD_TRACEIN_CLK
bit FTMD_TRACEIN_CLK
Definition: design_1_processing_system7_0_0.sv:630
S_AXI_HP1_WDATA
bit< 63 :0 > S_AXI_HP1_WDATA
Definition: design_1_processing_system7_0_0.sv:458
M_AXI_GP0_RLAST
bit M_AXI_GP0_RLAST
Definition: design_1_processing_system7_0_0.sv:204
S_AXI_HP1_RREADY
bit S_AXI_HP1_RREADY
Definition: design_1_processing_system7_0_0.sv:435
S_AXI_HP1_ARLOCK
bit< 1 :0 > S_AXI_HP1_ARLOCK
Definition: design_1_processing_system7_0_0.sv:440
M_AXI_GP0_WLAST
bit M_AXI_GP0_WLAST
Definition: design_1_processing_system7_0_0.sv:177
IRQ_P2F_DMAC3
bit IRQ_P2F_DMAC3
Definition: design_1_processing_system7_0_0.sv:554
S_AXI_HP3_AWREADY
bit S_AXI_HP3_AWREADY
Definition: design_1_processing_system7_0_0.sv:506
S_AXI_HP2_RCOUNT
bit< 7 :0 > S_AXI_HP2_RCOUNT
Definition: design_1_processing_system7_0_0.sv:471
UART1_RX
bit UART1_RX
Definition: design_1_processing_system7_0_0.sv:147
SPI0_MOSI_I
bit SPI0_MOSI_I
Definition: design_1_processing_system7_0_0.sv:107
S_AXI_HP0_ACLK
bit S_AXI_HP0_ACLK
Definition: design_1_processing_system7_0_0.sv:385
S_AXI_HP1_RLAST
bit S_AXI_HP1_RLAST
Definition: design_1_processing_system7_0_0.sv:418
TTC1_WAVE2_OUT
bit TTC1_WAVE2_OUT
Definition: design_1_processing_system7_0_0.sv:156
SPI0_SCLK_O
bit SPI0_SCLK_O
Definition: design_1_processing_system7_0_0.sv:105
ENET0_PTP_SYNC_FRAME_TX
bit ENET0_PTP_SYNC_FRAME_TX
Definition: design_1_processing_system7_0_0.sv:21
IRQ_P2F_UART0
bit IRQ_P2F_UART0
Definition: design_1_processing_system7_0_0.sv:569
S_AXI_HP0_AWCACHE
bit< 3 :0 > S_AXI_HP0_AWCACHE
Definition: design_1_processing_system7_0_0.sv:407
S_AXI_HP1_BREADY
bit S_AXI_HP1_BREADY
Definition: design_1_processing_system7_0_0.sv:433
S_AXI_GP0_AWPROT
bit< 2 :0 > S_AXI_GP0_AWPROT
Definition: design_1_processing_system7_0_0.sv:276
M_AXI_GP0_ARBURST
bit< 1 :0 > M_AXI_GP0_ARBURST
Definition: design_1_processing_system7_0_0.sv:182
S_AXI_GP1_BREADY
bit S_AXI_GP1_BREADY
Definition: design_1_processing_system7_0_0.sv:304
S_AXI_HP2_AWQOS
bit< 3 :0 > S_AXI_HP2_AWQOS
Definition: design_1_processing_system7_0_0.sv:499
DMA3_DAREADY
bit DMA3_DAREADY
Definition: design_1_processing_system7_0_0.sv:609
SDIO0_CMD_I
bit SDIO0_CMD_I
Definition: design_1_processing_system7_0_0.sv:81
DMA1_DRREADY
bit DMA1_DRREADY
Definition: design_1_processing_system7_0_0.sv:589
M_AXI_GP1_AWLEN
bit< 3 :0 > M_AXI_GP1_AWLEN
Definition: design_1_processing_system7_0_0.sv:236
S_AXI_HP0_BRESP
bit< 1 :0 > S_AXI_HP0_BRESP
Definition: design_1_processing_system7_0_0.sv:376
S_AXI_HP3_WRISSUECAP1_EN
bit S_AXI_HP3_WRISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:527
DDR_DRSTB
bit DDR_DRSTB
Definition: design_1_processing_system7_0_0.sv:662
SDIO0_CDN
bit SDIO0_CDN
Definition: design_1_processing_system7_0_0.sv:87
S_AXI_HP2_RDISSUECAP1_EN
bit S_AXI_HP2_RDISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:479
S_AXI_HP1_RRESP
bit< 1 :0 > S_AXI_HP1_RRESP
Definition: design_1_processing_system7_0_0.sv:422
S_AXI_HP2_BRESP
bit< 1 :0 > S_AXI_HP2_BRESP
Definition: design_1_processing_system7_0_0.sv:466
DMA0_DRTYPE
bit< 1 :0 > DMA0_DRTYPE
Definition: design_1_processing_system7_0_0.sv:612
S_AXI_HP2_RID
bit< 5 :0 > S_AXI_HP2_RID
Definition: design_1_processing_system7_0_0.sv:469
FCLK_CLKTRIG2_N
bit FCLK_CLKTRIG2_N
Definition: design_1_processing_system7_0_0.sv:622
S_AXI_HP2_AWID
bit< 5 :0 > S_AXI_HP2_AWID
Definition: design_1_processing_system7_0_0.sv:501
GPIO_T
bit< 7 :0 > GPIO_T
Definition: design_1_processing_system7_0_0.sv:61
S_AXI_ACP_ARUSER
bit< 4 :0 > S_AXI_ACP_ARUSER
Definition: design_1_processing_system7_0_0.sv:366
DMA0_DRVALID
bit DMA0_DRVALID
Definition: design_1_processing_system7_0_0.sv:599
S_AXI_ACP_WDATA
bit< 63 :0 > S_AXI_ACP_WDATA
Definition: design_1_processing_system7_0_0.sv:368
S_AXI_HP0_AWQOS
bit< 3 :0 > S_AXI_HP0_AWQOS
Definition: design_1_processing_system7_0_0.sv:409
S_AXI_HP2_WDATA
bit< 63 :0 > S_AXI_HP2_WDATA
Definition: design_1_processing_system7_0_0.sv:503
ENET1_GMII_TXD
bit< 7 :0 > ENET1_GMII_TXD
Definition: design_1_processing_system7_0_0.sv:49
S_AXI_HP2_WACOUNT
bit< 5 :0 > S_AXI_HP2_WACOUNT
Definition: design_1_processing_system7_0_0.sv:474
S_AXI_HP1_RDISSUECAP1_EN
bit S_AXI_HP1_RDISSUECAP1_EN
Definition: design_1_processing_system7_0_0.sv:434
S_AXI_GP1_WVALID
bit S_AXI_GP1_WVALID
Definition: design_1_processing_system7_0_0.sv:307
I2C1_SCL_T
bit I2C1_SCL_T
Definition: design_1_processing_system7_0_0.sv:73
SPI0_MISO_I
bit SPI0_MISO_I
Definition: design_1_processing_system7_0_0.sv:110
FCLK_CLK2
bit FCLK_CLK2
Definition: design_1_processing_system7_0_0.sv:618
USB1_PORT_INDCTL
bit< 1 :0 > USB1_PORT_INDCTL
Definition: design_1_processing_system7_0_0.sv:169
S_AXI_HP0_ARREADY
bit S_AXI_HP0_ARREADY
Definition: design_1_processing_system7_0_0.sv:370
M_AXI_GP0_BREADY
bit M_AXI_GP0_BREADY
Definition: design_1_processing_system7_0_0.sv:175
FCLK_CLKTRIG3_N
bit FCLK_CLKTRIG3_N
Definition: design_1_processing_system7_0_0.sv:623
S_AXI_HP3_RVALID
bit S_AXI_HP3_RVALID
Definition: design_1_processing_system7_0_0.sv:509
M_AXI_GP0_ARSIZE
bit< 2 :0 > M_AXI_GP0_ARSIZE
Definition: design_1_processing_system7_0_0.sv:184
S_AXI_HP0_AWBURST
bit< 1 :0 > S_AXI_HP0_AWBURST
Definition: design_1_processing_system7_0_0.sv:397
M_AXI_GP0_ACLK
bit M_AXI_GP0_ACLK
Definition: design_1_processing_system7_0_0.sv:200
FTMD_TRACEIN_DATA
bit< 31 :0 > FTMD_TRACEIN_DATA
Definition: design_1_processing_system7_0_0.sv:628
S_AXI_HP0_AWID
bit< 5 :0 > S_AXI_HP0_AWID
Definition: design_1_processing_system7_0_0.sv:411
S_AXI_GP0_WDATA
bit< 31 :0 > S_AXI_GP0_WDATA
Definition: design_1_processing_system7_0_0.sv:279
FTMT_P2F_TRIGACK_1
bit FTMT_P2F_TRIGACK_1
Definition: design_1_processing_system7_0_0.sv:643
DMA3_DRREADY
bit DMA3_DRREADY
Definition: design_1_processing_system7_0_0.sv:595
ENET1_PTP_SYNC_FRAME_TX
bit ENET1_PTP_SYNC_FRAME_TX
Definition: design_1_processing_system7_0_0.sv:46
S_AXI_GP0_BVALID
bit S_AXI_GP0_BVALID
Definition: design_1_processing_system7_0_0.sv:253
ENET1_PTP_SYNC_FRAME_RX
bit ENET1_PTP_SYNC_FRAME_RX
Definition: design_1_processing_system7_0_0.sv:45
S_AXI_HP2_AWPROT
bit< 2 :0 > S_AXI_HP2_AWPROT
Definition: design_1_processing_system7_0_0.sv:491
DDR_CS_n
bit DDR_CS_n
Definition: design_1_processing_system7_0_0.sv:661
S_AXI_ACP_BID
bit< 2 :0 > S_AXI_ACP_BID
Definition: design_1_processing_system7_0_0.sv:337
IRQ_P2F_ENET0
bit IRQ_P2F_ENET0
Definition: design_1_processing_system7_0_0.sv:564
M_AXI_GP1_ARADDR
bit< 31 :0 > M_AXI_GP1_ARADDR
Definition: design_1_processing_system7_0_0.sv:229
DMA0_DAVALID
bit DMA0_DAVALID
Definition: design_1_processing_system7_0_0.sv:585
UART0_TX
bit UART0_TX
Definition: design_1_processing_system7_0_0.sv:134
S_AXI_ACP_WID
bit< 2 :0 > S_AXI_ACP_WID
Definition: design_1_processing_system7_0_0.sv:351
S_AXI_HP3_BID
bit< 5 :0 > S_AXI_HP3_BID
Definition: design_1_processing_system7_0_0.sv:513
S_AXI_GP0_AWLEN
bit< 3 :0 > S_AXI_GP0_AWLEN
Definition: design_1_processing_system7_0_0.sv:284
TTC0_WAVE1_OUT
bit TTC0_WAVE1_OUT
Definition: design_1_processing_system7_0_0.sv:149
S_AXI_HP2_ARLOCK
bit< 1 :0 > S_AXI_HP2_ARLOCK
Definition: design_1_processing_system7_0_0.sv:485
S_AXI_GP1_AWVALID
bit S_AXI_GP1_AWVALID
Definition: design_1_processing_system7_0_0.sv:303
S_AXI_ACP_ACLK
bit S_AXI_ACP_ACLK
Definition: design_1_processing_system7_0_0.sv:340
M_AXI_GP0_ARLEN
bit< 3 :0 > M_AXI_GP0_ARLEN
Definition: design_1_processing_system7_0_0.sv:194
S_AXI_GP0_ARPROT
bit< 2 :0 > S_AXI_GP0_ARPROT
Definition: design_1_processing_system7_0_0.sv:275
DMA2_DAREADY
bit DMA2_DAREADY
Definition: design_1_processing_system7_0_0.sv:605
S_AXI_HP1_AWVALID
bit S_AXI_HP1_AWVALID
Definition: design_1_processing_system7_0_0.sv:432
M_AXI_GP0_BVALID
bit M_AXI_GP0_BVALID
Definition: design_1_processing_system7_0_0.sv:203
S_AXI_GP0_WSTRB
bit< 3 :0 > S_AXI_GP0_WSTRB
Definition: design_1_processing_system7_0_0.sv:286
M_AXI_GP1_AWLOCK
bit< 1 :0 > M_AXI_GP1_AWLOCK
Definition: design_1_processing_system7_0_0.sv:225
S_AXI_GP0_AWQOS
bit< 3 :0 > S_AXI_GP0_AWQOS
Definition: design_1_processing_system7_0_0.sv:285
SRAM_INTIN
bit SRAM_INTIN
Definition: design_1_processing_system7_0_0.sv:172