vt52-fpga  1.0.0 Initial
vt52-fpga is a serial terminal implemented on a FPGA
vt52_usb.xpr
Go to the documentation of this file.
1 <?xml version="1.0" encoding="UTF-8"?>
2 <!-- Product Version: Vivado v2020.2.1 (64-bit) -->
3 <!-- -->
4 <!-- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -->
5 
6 <Project Version="7" Minor="54" Path="/home/david/Documents/GitHub/djrm-vt52-fpga/vt52_usb/vt52_usb.xpr">
7  <DefaultLaunch Dir="$PRUNDIR"/>
8  <Configuration>
9  <Option Name="Id" Val="551670bc8b0f4a2eb6b0eaf5d2c9e51c"/>
10  <Option Name="Part" Val="xc7z010clg400-1"/>
11  <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
12  <Option Name="CompiledLibDirXSim" Val=""/>
13  <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
14  <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
15  <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
16  <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
17  <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
18  <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
19  <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
20  <Option Name="SimulatorInstallDirModelSim" Val=""/>
21  <Option Name="SimulatorInstallDirQuesta" Val=""/>
22  <Option Name="SimulatorInstallDirIES" Val=""/>
23  <Option Name="SimulatorInstallDirXcelium" Val=""/>
24  <Option Name="SimulatorInstallDirVCS" Val=""/>
25  <Option Name="SimulatorInstallDirRiviera" Val=""/>
26  <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
27  <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
28  <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
29  <Option Name="SimulatorGccInstallDirIES" Val=""/>
30  <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
31  <Option Name="SimulatorGccInstallDirVCS" Val=""/>
32  <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
33  <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
34  <Option Name="TargetLanguage" Val="VHDL"/>
35  <Option Name="BoardPart" Val="aliexpress:ebaz4205:part0:1.1"/>
36  <Option Name="ActiveSimSet" Val="sim_1"/>
37  <Option Name="DefaultLib" Val="xil_defaultlib"/>
38  <Option Name="ProjectType" Val="Default"/>
39  <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
40  <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
41  <Option Name="IPCachePermission" Val="read"/>
42  <Option Name="IPCachePermission" Val="write"/>
43  <Option Name="EnableCoreContainer" Val="FALSE"/>
44  <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
45  <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
46  <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
47  <Option Name="EnableBDX" Val="FALSE"/>
48  <Option Name="DSABoardId" Val="ebaz4205"/>
49  <Option Name="WTXSimLaunchSim" Val="0"/>
50  <Option Name="WTModelSimLaunchSim" Val="0"/>
51  <Option Name="WTQuestaLaunchSim" Val="0"/>
52  <Option Name="WTIesLaunchSim" Val="0"/>
53  <Option Name="WTVcsLaunchSim" Val="0"/>
54  <Option Name="WTRivieraLaunchSim" Val="0"/>
55  <Option Name="WTActivehdlLaunchSim" Val="0"/>
56  <Option Name="WTXSimExportSim" Val="0"/>
57  <Option Name="WTModelSimExportSim" Val="0"/>
58  <Option Name="WTQuestaExportSim" Val="0"/>
59  <Option Name="WTIesExportSim" Val="0"/>
60  <Option Name="WTVcsExportSim" Val="0"/>
61  <Option Name="WTRivieraExportSim" Val="0"/>
62  <Option Name="WTActivehdlExportSim" Val="0"/>
63  <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
64  <Option Name="XSimRadix" Val="hex"/>
65  <Option Name="XSimTimeUnit" Val="ns"/>
66  <Option Name="XSimArrayDisplayLimit" Val="1024"/>
67  <Option Name="XSimTraceLimit" Val="65536"/>
68  <Option Name="SimTypes" Val="rtl"/>
69  <Option Name="SimTypes" Val="bfm"/>
70  <Option Name="SimTypes" Val="tlm"/>
71  <Option Name="SimTypes" Val="tlm_dpi"/>
72  <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
73  <Option Name="DcpsUptoDate" Val="TRUE"/>
74  </Configuration>
75  <FileSets Version="1" Minor="31">
76  <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
77  <Filter Type="Srcs"/>
78  <File Path="$PPRDIR/../char_buffer.v">
79  <FileInfo>
80  <Attr Name="UsedIn" Val="synthesis"/>
81  <Attr Name="UsedIn" Val="implementation"/>
82  <Attr Name="UsedIn" Val="simulation"/>
83  </FileInfo>
84  </File>
85  <File Path="$PPRDIR/../char_rom.v">
86  <FileInfo>
87  <Attr Name="UsedIn" Val="synthesis"/>
88  <Attr Name="UsedIn" Val="implementation"/>
89  <Attr Name="UsedIn" Val="simulation"/>
90  </FileInfo>
91  </File>
92  <File Path="$PPRDIR/../clock_generator.v">
93  <FileInfo>
94  <Attr Name="UsedIn" Val="synthesis"/>
95  <Attr Name="UsedIn" Val="implementation"/>
96  <Attr Name="UsedIn" Val="simulation"/>
97  </FileInfo>
98  </File>
99  <File Path="$PPRDIR/../command_handler.v">
100  <FileInfo>
101  <Attr Name="UsedIn" Val="synthesis"/>
102  <Attr Name="UsedIn" Val="implementation"/>
103  <Attr Name="UsedIn" Val="simulation"/>
104  </FileInfo>
105  </File>
106  <File Path="$PPRDIR/../cursor.v">
107  <FileInfo>
108  <Attr Name="UsedIn" Val="synthesis"/>
109  <Attr Name="UsedIn" Val="implementation"/>
110  <Attr Name="UsedIn" Val="simulation"/>
111  </FileInfo>
112  </File>
113  <File Path="$PPRDIR/../cursor_blinker.v">
114  <FileInfo>
115  <Attr Name="UsedIn" Val="synthesis"/>
116  <Attr Name="UsedIn" Val="implementation"/>
117  <Attr Name="UsedIn" Val="simulation"/>
118  </FileInfo>
119  </File>
120  <File Path="$PPRDIR/../usbserial/usb/edge_detect.v">
121  <FileInfo>
122  <Attr Name="UsedIn" Val="synthesis"/>
123  <Attr Name="UsedIn" Val="implementation"/>
124  <Attr Name="UsedIn" Val="simulation"/>
125  </FileInfo>
126  </File>
127  <File Path="$PPRDIR/../keyboard.v">
128  <FileInfo>
129  <Attr Name="UsedIn" Val="synthesis"/>
130  <Attr Name="UsedIn" Val="implementation"/>
131  <Attr Name="UsedIn" Val="simulation"/>
132  </FileInfo>
133  </File>
134  <File Path="$PPRDIR/../keymap_rom.v">
135  <FileInfo>
136  <Attr Name="UsedIn" Val="synthesis"/>
137  <Attr Name="UsedIn" Val="implementation"/>
138  <Attr Name="UsedIn" Val="simulation"/>
139  </FileInfo>
140  </File>
141  <File Path="$PPRDIR/../simple_register.v">
142  <FileInfo>
143  <Attr Name="UsedIn" Val="synthesis"/>
144  <Attr Name="UsedIn" Val="implementation"/>
145  <Attr Name="UsedIn" Val="simulation"/>
146  </FileInfo>
147  </File>
148  <File Path="$PPRDIR/../usbserial/usb/usb_fs_in_arb.v">
149  <FileInfo>
150  <Attr Name="UsedIn" Val="synthesis"/>
151  <Attr Name="UsedIn" Val="implementation"/>
152  <Attr Name="UsedIn" Val="simulation"/>
153  </FileInfo>
154  </File>
155  <File Path="$PPRDIR/../usbserial/usb/usb_fs_in_pe.v">
156  <FileInfo>
157  <Attr Name="UsedIn" Val="synthesis"/>
158  <Attr Name="UsedIn" Val="implementation"/>
159  <Attr Name="UsedIn" Val="simulation"/>
160  </FileInfo>
161  </File>
162  <File Path="$PPRDIR/../usbserial/usb/usb_fs_out_arb.v">
163  <FileInfo>
164  <Attr Name="UsedIn" Val="synthesis"/>
165  <Attr Name="UsedIn" Val="implementation"/>
166  <Attr Name="UsedIn" Val="simulation"/>
167  </FileInfo>
168  </File>
169  <File Path="$PPRDIR/../usbserial/usb/usb_fs_out_pe.v">
170  <FileInfo>
171  <Attr Name="UsedIn" Val="synthesis"/>
172  <Attr Name="UsedIn" Val="implementation"/>
173  <Attr Name="UsedIn" Val="simulation"/>
174  </FileInfo>
175  </File>
176  <File Path="$PPRDIR/../usbserial/usb/usb_fs_pe.v">
177  <FileInfo>
178  <Attr Name="UsedIn" Val="synthesis"/>
179  <Attr Name="UsedIn" Val="implementation"/>
180  <Attr Name="UsedIn" Val="simulation"/>
181  </FileInfo>
182  </File>
183  <File Path="$PPRDIR/../usbserial/usb/usb_fs_rx.v">
184  <FileInfo>
185  <Attr Name="UsedIn" Val="synthesis"/>
186  <Attr Name="UsedIn" Val="implementation"/>
187  <Attr Name="UsedIn" Val="simulation"/>
188  </FileInfo>
189  </File>
190  <File Path="$PPRDIR/../usbserial/usb/usb_fs_tx.v">
191  <FileInfo>
192  <Attr Name="UsedIn" Val="synthesis"/>
193  <Attr Name="UsedIn" Val="implementation"/>
194  <Attr Name="UsedIn" Val="simulation"/>
195  </FileInfo>
196  </File>
197  <File Path="$PPRDIR/../usbserial/usb/usb_fs_tx_mux.v">
198  <FileInfo>
199  <Attr Name="UsedIn" Val="synthesis"/>
200  <Attr Name="UsedIn" Val="implementation"/>
201  <Attr Name="UsedIn" Val="simulation"/>
202  </FileInfo>
203  </File>
204  <File Path="$PPRDIR/../usbserial/usb/usb_reset_det.v">
205  <FileInfo>
206  <Attr Name="UsedIn" Val="synthesis"/>
207  <Attr Name="UsedIn" Val="implementation"/>
208  <Attr Name="UsedIn" Val="simulation"/>
209  </FileInfo>
210  </File>
211  <File Path="$PPRDIR/../usbserial/usb/usb_serial_ctrl_ep.v">
212  <FileInfo>
213  <Attr Name="UsedIn" Val="synthesis"/>
214  <Attr Name="UsedIn" Val="implementation"/>
215  <Attr Name="UsedIn" Val="simulation"/>
216  </FileInfo>
217  </File>
218  <File Path="$PPRDIR/../usbserial/usb/usb_uart_bridge_ep.v">
219  <FileInfo>
220  <Attr Name="UsedIn" Val="synthesis"/>
221  <Attr Name="UsedIn" Val="implementation"/>
222  <Attr Name="UsedIn" Val="simulation"/>
223  </FileInfo>
224  </File>
225  <File Path="$PPRDIR/../usbserial/usb/usb_uart_core.v">
226  <FileInfo>
227  <Attr Name="UsedIn" Val="synthesis"/>
228  <Attr Name="UsedIn" Val="implementation"/>
229  <Attr Name="UsedIn" Val="simulation"/>
230  </FileInfo>
231  </File>
232  <File Path="$PPRDIR/../usbserial/usb/usb_uart_x7.v">
233  <FileInfo>
234  <Attr Name="UsedIn" Val="synthesis"/>
235  <Attr Name="UsedIn" Val="implementation"/>
236  <Attr Name="UsedIn" Val="simulation"/>
237  </FileInfo>
238  </File>
239  <File Path="$PPRDIR/../video_generator.v">
240  <FileInfo>
241  <Attr Name="UsedIn" Val="synthesis"/>
242  <Attr Name="UsedIn" Val="implementation"/>
243  <Attr Name="UsedIn" Val="simulation"/>
244  </FileInfo>
245  </File>
246  <File Path="$PPRDIR/../vt52.v">
247  <FileInfo>
248  <Attr Name="UsedIn" Val="synthesis"/>
249  <Attr Name="UsedIn" Val="implementation"/>
250  <Attr Name="UsedIn" Val="simulation"/>
251  </FileInfo>
252  </File>
253  <File Path="$PSRCDIR/sources_1/imports/rtl/uart.v">
254  <FileInfo>
255  <Attr Name="AutoDisabled" Val="1"/>
256  <Attr Name="ImportPath" Val="$PPRDIR/../../verilog-uart/rtl/uart.v"/>
257  <Attr Name="ImportTime" Val="1623930722"/>
258  <Attr Name="UsedIn" Val="synthesis"/>
259  <Attr Name="UsedIn" Val="implementation"/>
260  <Attr Name="UsedIn" Val="simulation"/>
261  </FileInfo>
262  </File>
263  <File Path="$PSRCDIR/sources_1/imports/rtl/uart_rx.v">
264  <FileInfo>
265  <Attr Name="AutoDisabled" Val="1"/>
266  <Attr Name="ImportPath" Val="$PPRDIR/../../verilog-uart/rtl/uart_rx.v"/>
267  <Attr Name="ImportTime" Val="1623930722"/>
268  <Attr Name="UsedIn" Val="synthesis"/>
269  <Attr Name="UsedIn" Val="implementation"/>
270  <Attr Name="UsedIn" Val="simulation"/>
271  </FileInfo>
272  </File>
273  <File Path="$PSRCDIR/sources_1/imports/rtl/uart_tx.v">
274  <FileInfo>
275  <Attr Name="AutoDisabled" Val="1"/>
276  <Attr Name="ImportPath" Val="$PPRDIR/../../verilog-uart/rtl/uart_tx.v"/>
277  <Attr Name="ImportTime" Val="1623930722"/>
278  <Attr Name="UsedIn" Val="synthesis"/>
279  <Attr Name="UsedIn" Val="implementation"/>
280  <Attr Name="UsedIn" Val="simulation"/>
281  </FileInfo>
282  </File>
283  <File Path="$PPRDIR/../usbserial/usb/serial.v">
284  <FileInfo>
285  <Attr Name="AutoDisabled" Val="1"/>
286  <Attr Name="UsedIn" Val="synthesis"/>
287  <Attr Name="UsedIn" Val="implementation"/>
288  <Attr Name="UsedIn" Val="simulation"/>
289  </FileInfo>
290  </File>
291  <File Path="$PPRDIR/../usbserial/usb/usb_uart_i40.v">
292  <FileInfo>
293  <Attr Name="UserDisabled" Val="1"/>
294  <Attr Name="UsedIn" Val="synthesis"/>
295  <Attr Name="UsedIn" Val="implementation"/>
296  <Attr Name="UsedIn" Val="simulation"/>
297  </FileInfo>
298  </File>
299  <File Path="$PPRDIR/../usbserial/usb/usb_uart.v">
300  <FileInfo>
301  <Attr Name="UserDisabled" Val="1"/>
302  <Attr Name="UsedIn" Val="synthesis"/>
303  <Attr Name="UsedIn" Val="implementation"/>
304  <Attr Name="UsedIn" Val="simulation"/>
305  </FileInfo>
306  </File>
307  <File Path="$PPRDIR/../usbserial/usb/usb_uart_ecp5.v">
308  <FileInfo>
309  <Attr Name="UserDisabled" Val="1"/>
310  <Attr Name="UsedIn" Val="synthesis"/>
311  <Attr Name="UsedIn" Val="implementation"/>
312  <Attr Name="UsedIn" Val="simulation"/>
313  </FileInfo>
314  </File>
315  <File Path="$PPRDIR/../mem/test.mem">
316  <FileInfo>
317  <Attr Name="UsedIn" Val="synthesis"/>
318  <Attr Name="UsedIn" Val="simulation"/>
319  </FileInfo>
320  </File>
321  <File Path="$PPRDIR/../mem/terminus_816_latin1.mem">
322  <FileInfo>
323  <Attr Name="UsedIn" Val="synthesis"/>
324  <Attr Name="UsedIn" Val="simulation"/>
325  </FileInfo>
326  </File>
327  <File Path="$PPRDIR/../mem/keymap.mem">
328  <FileInfo>
329  <Attr Name="UsedIn" Val="synthesis"/>
330  <Attr Name="UsedIn" Val="simulation"/>
331  </FileInfo>
332  </File>
333  <File Path="$PPRDIR/../mem/terminus_816_bold_latin1.mem">
334  <FileInfo>
335  <Attr Name="UsedIn" Val="synthesis"/>
336  <Attr Name="UsedIn" Val="simulation"/>
337  </FileInfo>
338  </File>
339  <File Path="$PPRDIR/../mem/empty.mem">
340  <FileInfo>
341  <Attr Name="UsedIn" Val="synthesis"/>
342  <Attr Name="UsedIn" Val="simulation"/>
343  </FileInfo>
344  </File>
345  <Config>
346  <Option Name="DesignMode" Val="RTL"/>
347  <Option Name="TopModule" Val="top"/>
348  </Config>
349  </FileSet>
350  <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
351  <Filter Type="Constrs"/>
352  <File Path="$PPRDIR/../ebaz4205.xdc">
353  <FileInfo>
354  <Attr Name="UsedIn" Val="synthesis"/>
355  <Attr Name="UsedIn" Val="implementation"/>
356  </FileInfo>
357  </File>
358  <Config>
359  <Option Name="ConstrsType" Val="XDC"/>
360  </Config>
361  </FileSet>
362  <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
363  <Filter Type="Srcs"/>
364  <Config>
365  <Option Name="DesignMode" Val="RTL"/>
366  <Option Name="TopModule" Val="top"/>
367  <Option Name="TopLib" Val="xil_defaultlib"/>
368  <Option Name="TransportPathDelay" Val="0"/>
369  <Option Name="TransportIntDelay" Val="0"/>
370  <Option Name="SelectedSimModel" Val="rtl"/>
371  <Option Name="PamDesignTestbench" Val=""/>
372  <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
373  <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
374  <Option Name="PamPseudoTop" Val="pseudo_tb"/>
375  <Option Name="SrcSet" Val="sources_1"/>
376  </Config>
377  </FileSet>
378  <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
379  <Filter Type="Utils"/>
380  <Config>
381  <Option Name="TopAutoSet" Val="TRUE"/>
382  </Config>
383  </FileSet>
384  </FileSets>
385  <Simulators>
386  <Simulator Name="XSim">
387  <Option Name="Description" Val="Vivado Simulator"/>
388  <Option Name="CompiledLib" Val="0"/>
389  </Simulator>
390  <Simulator Name="ModelSim">
391  <Option Name="Description" Val="ModelSim Simulator"/>
392  </Simulator>
393  <Simulator Name="Questa">
394  <Option Name="Description" Val="Questa Advanced Simulator"/>
395  </Simulator>
396  <Simulator Name="IES">
397  <Option Name="Description" Val="Incisive Enterprise Simulator (IES)"/>
398  </Simulator>
399  <Simulator Name="Xcelium">
400  <Option Name="Description" Val="Xcelium Parallel Simulator"/>
401  </Simulator>
402  <Simulator Name="VCS">
403  <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
404  </Simulator>
405  <Simulator Name="Riviera">
406  <Option Name="Description" Val="Riviera-PRO Simulator"/>
407  </Simulator>
408  </Simulators>
409  <Runs Version="1" Minor="15">
410  <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1">
411  <Strategy Version="1" Minor="2">
412  <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2020"/>
413  <Step Id="synth_design"/>
414  </Strategy>
415  <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
416  <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2020"/>
417  <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
418  <RQSFiles/>
419  </Run>
420  <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z010clg400-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1">
421  <Strategy Version="1" Minor="2">
422  <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2020"/>
423  <Step Id="init_design"/>
424  <Step Id="opt_design"/>
425  <Step Id="power_opt_design"/>
426  <Step Id="place_design"/>
427  <Step Id="post_place_power_opt_design"/>
428  <Step Id="phys_opt_design"/>
429  <Step Id="route_design"/>
430  <Step Id="post_route_phys_opt_design"/>
431  <Step Id="write_bitstream"/>
432  </Strategy>
433  <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
434  <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2020"/>
435  <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
436  <RQSFiles/>
437  </Run>
438  </Runs>
439  <Board>
440  <Jumpers/>
441  </Board>
442  <DashboardSummary Version="1" Minor="0">
443  <Dashboards>
444  <Dashboard Name="default_dashboard">
445  <Gadgets>
446  <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
447  <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
448  </Gadget>
449  <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
450  <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
451  </Gadget>
452  <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
453  <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
454  </Gadget>
455  <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
456  <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
457  </Gadget>
458  <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
459  <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
460  <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
461  <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
462  </Gadget>
463  <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
464  <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
465  </Gadget>
466  </Gadgets>
467  </Dashboard>
468  <CurrentDashboard>default_dashboard</CurrentDashboard>
469  </Dashboards>
470  </DashboardSummary>
471 </Project>